• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(393)
  • 리포트(371)
  • 시험자료(9)
  • 논문(7)
  • 자기소개서(2)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"Loop design" 검색결과 161-180 / 393건

  • 한글파일 마이크로프로세서 8051 과제 10장 11장 14장
    10장 59번) ORG0H RE:MOV TMOD,#20H MOVTH1,#-2 MOVSCON,#50H SETBTR1 LOOP:CLRA MOVA,#“Z“ MOVSBUF,A NEXT:JNZ ... 각각 Y0,Y3,Y6 중에서 수에 해당하는 번호의 핀을 L(1의 값)로 만든다A12, A13, A14, A15 이렇게 4개가 존재하기 때문에 24) Using the 74138, design ... inverter 없이 NAND에 입력시킨다. 21) find the address range for Y0, Y3, and Y6 of the 74LS138 for the diagrammed design
    리포트 | 8페이지 | 5,000원 | 등록일 2012.08.28 | 수정일 2017.03.12
  • 워드파일 CST_L측정_LC공진_RLC공진
    (HF Antenna Design Notes Technical Application Report ,11page) H-field 측정 실험 (측정값) (이론값) (측정값) (이론값) ... LC loop와 RLC loop의 Impedance magnitude> 은 LC loop와 RLC loop Impedance의 크기를 주파수에 따라 나타낸 그래프이다. ... 반면에 loop의 길이가 가지는 영향은 여전히 유지되므로 Square loop에서 멀리 떨어질수록 w의 크기가 큰 square loop의 H-field가 크다는 것을 예측할 수 있다
    리포트 | 10페이지 | 1,500원 | 등록일 2011.06.27
  • 워드파일 애플 APPLE 기업분석과 마케팅전략분석(영문레포트)
    distribution Founded April 1, 1976 by Steve Jobs and Steve Wozniak Headquarter Apple Campus 1 Infinite Loop ... Introduction Summary Apple Inc. is an American multinational corporation that designs and markets consumer ... name value Service of Itunes Music store New loyal customers Increasing interests in high quality and design
    리포트 | 7페이지 | 3,300원 | 등록일 2012.02.15
  • 한글파일 실험 프로젝트-신호등 제작과정 보고서
    ; RW=1; E=1; temp1=P0; E=0; //Lower 4 bits are ignored E=1; E=0; }while(temp1 & 0x80);//Busy=1 case loop ... edge mode EX1=1; //External interrupt 1, enable EA=1; //All of interrupts enable L_INIT(); state=0; design
    리포트 | 17페이지 | 4,000원 | 등록일 2014.08.18
  • 한글파일 Operation System concepts with java 2 장 summary
    A timer prevents infinite loops. ... There are several different strategies for designing a computer system. ... The most common multiprocessor design is symmetric multiprocessing (or SMP), Where all processor are
    리포트 | 2페이지 | 1,000원 | 등록일 2013.03.31
  • 한글파일 TWI직렬 통신프로그램 [마컴 예비레포트]
    /Write using TWI [] ;[] [] ;[][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ; ;Designed ... CALLBEEP CALLD2SEC LOOP2:LDIAL,1; loop from 0x0000 to 0x07FF ADDBL,AL// BL을 1씩 증가 시킨다. ... CALLBEEP LOOP3:JMPLOOP3// 무한 루프를 돌린다.
    리포트 | 9페이지 | 1,000원 | 등록일 2012.05.22
  • 한글파일 전자회로2 설계 과제 4. Feedback
    Feedback 회로 설계> 그림과 같은 Feedback 회로를 설계하시오. 1) Design Problem : Find , , , , . ... Breaking the loop ⇒ 채널 길이 변조( )를 무시하면, CS단 에서의 전압이득( )과 SF단 에서의 전압이득(1 : 라고 할 때)을 고려하여 회로를 해석한다. + Vout ... 시뮬레이션 토의 고찰 > Feedback 회로 설계를 설계하는 설계 4과제는 주어진 회로도와 소자의 조건을 사용하여 , , , , 와 Feedback 회로를 ‘Breaking the loop
    리포트 | 6페이지 | 2,500원 | 등록일 2011.06.09
  • 한글파일 로보틱스 기초 레포트
    derivative controller (PID controller) is a generic control loop feedback mechanism (controller) widely ... Thus, haptics are commonly used in virtual arts, such as sound synthesis or graphic design/animation. ... Arts and design Touching is not limited to a feeling, but it allows interactivity in eal-time with virtual
    리포트 | 7페이지 | 1,000원 | 등록일 2012.11.14
  • 한글파일 자동제어 도립진자
    그림 10 SISO design tool를 이용한 적분 제어기 설계 Matlab SISO design tool을 사용하여 s=-6.4 ±j8.6 에 가까운 점을 root locus가 ... 이렇게 원점에 pole만 두게 되면 변화된 root locus에 의해 요구 조건의 transient response를 만족하는 closed loop pole을 벗어나게 된다. ... Transfer function의 pole이 우평면에도 하나 존재하고, 어느 K값 이상에서는 Closed loop Transfer function의 pole들이 허수축에 정의되기
    리포트 | 9페이지 | 2,000원 | 등록일 2010.06.21
  • 워드파일 Instruction Set Architecture (ISA) 비교 레포트 (MIPS, Alpha, x86, POWERpc, SPARC)
    Design CISC is the initial of Complex Instruction Set Computer. x86 is designed in CISC. ... Instructions, Control Flow Instructions (Comparison Instructions, Jump Instructions, Function Calls, Loop ... Most of computer made in 2008 is designed in x86. CISC stores all instruction set.
    리포트 | 7페이지 | 2,000원 | 등록일 2011.12.10
  • 한글파일 Integrator (적분기) 의 설계
    Pole(Sp) = 100Hz Op-amp open-loop gain = 1000 Assume the largest capacitor( ) : 50pF 1) Design Problem ... 그림과 같은 Cascode 회로를 설계하시오. 1) Design Problem : 전류만 0.6mA로 가정하고 위의 조건으로 다음의 값을 계산하시오. ( , , , , , , ) ①
    리포트 | 7페이지 | 1,000원 | 등록일 2011.07.05 | 수정일 2014.06.09
  • 한글파일 결과보고서-Exp 7. Filter Design Using Microcontroller (2ndWeek).hwp
    @12MHz { // about 10.851us per 1 loop @11.0592MHz while(time--) { time++; time--; } } void main() { ... Disc0}; // 과거 입력 기억 data int y=0; // FIR연산이 저장될 변수 void delay(unsigned char time) // about 10us per 1 loop ... Filter Design Using Microcontroller (2ndWeek) 1.
    리포트 | 21페이지 | 2,000원 | 등록일 2010.10.09
  • 파워포인트파일 화공_최적화_클린룸_발표_자료(optimization of clean room)
    대기에 가까우면 공기는 압축될 수 없기 때문에 압력제어기 loop 는 빠르고 안정적이다 . ... Plant-Wide Optimization 이 제어시스템은 TIC 설정점 최적화 loop 와 유사하다 . ... Loop 가 힘을 받았을 때 , DPS-1 은 순환 공기 제어 damper(RAR-1) 를 미리 설정해 놓은 지점까지 빠르게 회전시킨다 .
    리포트 | 15페이지 | 1,500원 | 등록일 2011.06.01
  • 한글파일 아주대 전파실험 결과6 RF Power Divider
    또한 불연속구조 인한 고차모드의 생성문제를 해결할 방법이 없고, 주파수가 올라갈수록lossless loop를 형성하여 발진을 유발할ution Frequency fc=1GHz, Zo= ... 예비보고서를 쓸 때 이론적으로만 공부할때는 매우 어렵고 복잡해 보이기만 했는데 이렇게 실제Design Tool을 이용하여 설계해보고 결과를 확인하여 분석하고 의미를 찾아내니 왜 그런결과가
    리포트 | 4페이지 | 1,500원 | 등록일 2014.10.05 | 수정일 2018.12.09
  • 한글파일 전기전자응용실험 Chapter 9-12 Design Project: Line Tracer Pre-report
    Chapter 9-12 Design Project: Line Tracer Pre-report Answer the questions Chapter 9. ... The motor's position can be controlled precisely without any feedback mechanism (open-loop system, in ... reluctance motors (which are very large stepping motors with a reduced pole count, and generally are closed-loop
    리포트 | 11페이지 | 1,500원 | 등록일 2012.08.05
  • 워드파일 Control[제어공학]을 활용한 [에어백]Airback 시스템 설계 메트랩[matlap]활용
    We consider the design that is a linear system and this system make us to calculate more easy because ... Green : In original loop, mass 2 is 100kg. Light Green : In original loop, Mass 2 is 57. ... This result is using the closed-loop system.
    리포트 | 12페이지 | 2,000원 | 등록일 2010.12.11
  • 한글파일 BPSK를 이용한 변복조모델과 분석 (AWGN채널을 통한 잡음 추가)
    Design Work Sheets (if any) C. ... IPOINT,sr,alfs,0); % Receiver filter coefficients % START CALCULATION nloop=100; % Number of simulation loops ... 반 : 11 분반 교 수 님 : 한 창호 제 출 일 : 2011년 12월 07일 조 장 : 이 승진 조 원 : 김 도영 박 영환 유 태승 홍 세은 (디지털통신) 설계 과제 보고서(Design
    리포트 | 14페이지 | 2,000원 | 등록일 2012.02.10
  • 파워포인트파일 [영문] Everything of Apple. 애플.
    besides design and development of new products 11 Source : http://androidwires.com/2011/03/15/page/5 ... development Steve Jobs 5 Source : h(s) Steve Jobs Steve Wozniak Headquarters Apple Campus 1 lnfinite Loop ... Contents 3 Address : 1 lnfinite Loop Cupertino, CA 95014-2084, United States Source: map http://maps.google.com.hk
    리포트 | 20페이지 | 3,900원 | 등록일 2011.06.12
  • 한글파일 카이스트 전자공학실험3 실험2 Triggering 결과보고서
    2009. 3. 8. 1. ... Problem statement Design and implement an oscilloscope triggering subsystem, which generates the trigger ... voltage를 OP-amp의 - input단에 넣어주고, + input 단에 비교할 source voltage를 넣어 주면 그 Open loop gain에 의해서 output의
    리포트 | 16페이지 | 2,500원 | 등록일 2011.11.06
  • 파워포인트파일 건축 주거단지의 계획
    서비스할 수 있는 적당한 상업시설 을 1개소 이상 설치하되, 인접근린 주구와 면해 있는 주구외곽의 교통 결확립, 도시를 이론적으로 조직화한 획기적인 공헌을 함 ■ 주거지의 설계(Design ... 차량동선계획과 함께 고려 ⑤긴급차량동선을 확보 ⑥소음대책도 강구 ⑦횡단물매, 종단물매, 곡선반경, 건축선한계 등 고려 c) 보차 분리 ①평면분리 : 클드삭(cul-de-sac),루프(loop
    리포트 | 19페이지 | 3,500원 | 등록일 2015.01.20
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 02일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:14 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기