• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(3,235)
  • 리포트(3,063)
  • 시험자료(101)
  • 자기소개서(36)
  • 방송통신대(13)
  • 논문(12)
  • 서식(8)
  • ppt테마(2)

"Tb3+" 검색결과 41-60 / 3,235건

  • 한글파일 제11장용액과그성질들
    Ptotal = PA + PB A의 몰분율을 XA, B의 몰분율을 XB라고 하면 Ptotal = PA + PB = (P0AXA) + (P0BXB) 두 유사m 은 용질 입자의 몰랄농도 ... 그러므로, ΔHvap = TbΔSvap이고, Tb = ΔHvap/ΔSvap 이다. ... 즉 Na+/Cl- 이온들과 극성인 물 분자들 간의 강한 이온-쌍극자 인력이, 물 분자들간의 쌍극자-쌍극자 인력과 그리고 Na+와 Cl- 이온들 간의 이온-이온 인력과 비슷하기 때문이다
    리포트 | 10페이지 | 2,000원 | 등록일 2021.04.16
  • 한글파일 컴퓨터견적서_한글양식
    (3200) 1 95,000 SSD (SK Hynix) Gold P31 M.2 NVMe 2280 [500GB TLC] 1 69,000 HDD [도시바] TOSHIBA P300 2TB ... 컴퓨터 견적서 견적내용 단위 : 원 번호 품명 사양 수량 견적단가 견적합계 비고 1 MS-BDI7-F 기업용 인텔 i7-1200F (2.1G)_엘더레이크, 32G, NVMe500G + ... HDWD120 (3.5HDD / SATA3 / 7200rpm / 64MB / PMR) 1 97,500 CASE [EDDY] EDDY 40 (블랙) (미들타워) 1 24,000 POWER
    서식 | 1페이지 | 500원 | 등록일 2024.02.04
  • 한글파일 갑상선 암 (thyroid cancer) 간호과정 성인간호학
    간호과정 (1)수술과 관련된 급성통증 (2) 수술 후 합병증과 관련된 지식부족으로 인한 불안 3. ... PO *02, 40mg 부작용: 저칼륨형증, 저나트륨혈증, 탈수등 금기: 저칼륨혈증, 신부전환자 항균제 Tazoferan (piperacillin ) + N/S 50 ml/ Bag ... : none - 입원시부터 현재까지의 경과: 입원 전 L/C건강검진에서 Lt. hypoechoic nodule (1.5cm) 진단받고 본원에서 Thyroid Sonography +
    리포트 | 13페이지 | 2,000원 | 등록일 2022.06.21
  • 워드파일 (아동실습 A+ 보장드립니다) 아동간호학 CASE STUDY _ 신생아 황달 _ 간호진단 3개 및 자세한 간호중재 5개 이상
    6/2 ABR Test Both : PASS 6/2 Rota Virus Negative 6/3 TB (Total Bilirubin) 10.6 ▲ 11.2 ▲ 6/2 6/3 3) 과거력 ... (1) 임신중 질병 : 무 ■ (2) 출산력 : ∙분만형태 C/S (CPD) , 체중 3720gm ∙출생시 호흡곤란 : 무 ■ , 재태기간 : 38+1 ∙출생 순위 : 첫째 (3) ... TB 수치 증가는 고빌리루빈혈증을 유발하기 때문이다. 3. 수유량이 부족하며 황달이 발생한거라면, 탈수가 쉽게 진행된다.
    리포트 | 19페이지 | 2,500원 | 등록일 2024.06.06 | 수정일 2024.06.07
  • 한글파일 [A+자료] 고체의 열전도도 측정 결과레포트
    } + TRIANGLE T _{2,3} + TRIANGLE T _{3,4} + TRIANGLE T _{7,8} + TRIANGLE T _{8,9} + TRIANGLE T _{9,10 ... }} over {6}= {1+4+1+0+0+0} over {6}= 1 d) k _{at,`} k _{bt} 값 구하기 구리 시험편a 시험편b 시험편c k _{at}( kcal/m.hr ... 실험 결과값을 구해보면 먼저 온도지시계의 온도를 통해 시험편의 온도차이인 TRIANGLE Ta, TRIANGLE Tb 값을 구해준다.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.11.02
  • 한글파일 신경외과 케이스스터디, ICH, Stroke (간호진단3개)
    7mm 8mm ● ● ● present: + absent: - 근력 R 상지 0 하지 1 L 상지 4 하지 4 중추신경 동공 왼쪽 크기 3 반응 + 오른쪽 크기 3 반응 + EOMS ... 위염의 예방위해 Tylenol-ER 650mg caplet/ tab 1TB 3p tid Acetaminophen(해열, 진통, 소염제) 발적, 소양감, 과민반응, 오심, 구토, 인후부종 ... Clear + Slurred Rambling Aphasic Grasgow Coma Scale Eye open 4 spontaneous + 3 to call 2 to pain 1 no
    리포트 | 13페이지 | 1,500원 | 등록일 2022.10.01
  • 한글파일 아동간호학실습 신생아중환자실 NICU CASE STUDY 신생아황달
    유 (-, +, ++, +++, ++++) 조기파수 - 무 ? 유 ( 시간, 일전) 7. 활력징후 : 호흡 수 맥박 수 체온 (3) 입원 시 간호 1. 흡인 : 무 ? ... 이자르 조리원에서 24일 입실 시 TB (bilirubinometer): 14mg/dL 측정되어 지켜보자 하였다함. 25일 TB : 22mg/dL, 26일 TB : 25mg/dL 측정되어 ... 매 8시간 마다 혹은 필요시 마다 발진 등 피부상태를 관찰하고 피부의 청결과 건조함을 유지한다. - 광선 요법으로 인한 N) TB (bilirubinometer) 3/26 15.8mg
    리포트 | 31페이지 | 3,000원 | 등록일 2019.09.06 | 수정일 2019.10.05
  • 한글파일 A++++보장!!! 결핵 CaseStudy Tuberculosis
    stain (4+) ?AFB culture ( ?) ?PCR Tb () ?stain & culture () 영양 ? 지표 평가 IBW ... chill(+), weight loss(+), general weekness(+), fatigue(+), dyspnea(+), cough(+), sputum(+) 다. ... 최근 6개월 정도 기침, 가래 심하여 통영 적십자 병원에서 chest CT상 양측 상엽 공동성 병변 관찰됨 ⇒ r/o TB진단 ⇒ 큰 병원 권유 받아 입원 간호를 적용하고자 하는 이유
    리포트 | 8페이지 | 1,000원 | 등록일 2020.07.01
  • 워드파일 가감산기 8bit addsub8 설계 베릴로그
    _TB_settings.txt // By : tb_verilog.pl ver. ver 1.2s // //------------------------------------------- ... 디지털시스템설계 #3 Report 2018. 5. 10 제출 전가산기 8개로 -128~+127 까지 표현 가능한 8비트 가산기. 이전캐리가 다음 전가산기값에 영향을 줌. ... A[3],B3,C2,C3,result[3]); fa U4(A[4],B4,C3,C4,result[4]); fa U5(A[5],B5,C4,C5,result[5]); fa U6(A[6],
    리포트 | 18페이지 | 2,500원 | 등록일 2021.04.09
  • 한글파일 방송대 컴퓨터과학과 HTML5 기말평가(만점)
    평가유형 : 과제물형 (과제물형, 주관식형, 혼합형(과제물+주관식) 중 해당 유형 표기) ? 주관식형 : ※ 주관식일 경우 문제번호 표기 후 답안 작성 ? ... 사용됨 value:실제 측정 데이터 min, max: 최소값(0.0)과 최대값(1.0) low, high: 허용 범위의 최소, 최대값 optimum:최적의 기대치 디스크 사용률(1TB ... 추가된 새 요소 번호 새 요소 기능 속성 활용 예시 1 article 개별 콘텐츠를 나타냄 개별콘텐츠 2 aside 좌우측의 사이드 영역 사이드 3 audio 웹페이지에 오디오를 삽입
    방송통신대 | 10페이지 | 5,500원 | 등록일 2021.01.02
  • 워드파일 갤럭시 s20, 캐논 eos 90d 스펙조사
    2TB / SDHC: ~32GB / SDXC: ~2TB / UHS-I : 32GB(표준) / UHS-II : 32 GB(고속) 화면 비율 3 : 2 / 4 : 3 / 16 : 9 ... 갤럭시 s20 디스플레이 화면크기 158.3mm(약 6.23인치) 해상도 1600 x 720 (HD+) / 2400 x 1080 (FHD+) / 3200 x 1440 (WQHD+) ... 규격 내장 메모리 사용할 수 있는 메모리 (GB) 103.6 외장 메모리 지원 micro SDXC (규격상 2 TB, 1 TB 공식 지원) 프로세서 퀄컴 스냅드래곤 865 SM8250
    리포트 | 14페이지 | 5,000원 | 등록일 2021.06.30 | 수정일 2022.09.27
  • 워드파일 컴퓨터 구조와 운영체제 과제
    Digital WD 1TB BLUE WD10EZEX HDD (PC용) / 8.9cm(3.5인치) / 1TB / SATA3(6Gb/s) / 7,200RPM / 메모리 64MB / 최대 ... 정격 650W / 20핀+4핀 / 120mm 팬 / 1개(팬) / 액티브PFC / 깊이: 155mm / +12V 다중레일 / 35A, 35A / 4핀 IDE x3 / SATA x5 ... / 6+2핀 PCI-E x4 / 보조8핀(4+4) 커넥터 / FDD 커넥터 / 프리볼트 / 80 PLUS 브론즈 OS(운영체제): Window10 x64비트 2.
    리포트 | 5페이지 | 3,000원 | 등록일 2021.09.24
  • 파워포인트파일 결핵약제 부작용
    ) 2) 인터페론 감마 분비 검사 (혈액 검사) TB antigen Nil 비접촉자 치료군 치료 적응증 고 위험군 LTBI (+) 치료 시작 HIV or 장기 이식자 또는 이식 대기자 ... 발진 VitB6 (영양, 과음, 당뇨, CKD, 산모) INH + RIF 3-4 Mo 부작용 적고 순응도 좋음. ** RIF 600mg - 450mg 부작용 발생: INH 단독 or ... TB/DB를 실시한다. F/U 1개월 마다 실시한다.
    리포트 | 55페이지 | 3,000원 | 등록일 2020.01.13
  • 한글파일 ENT-tonsilitis
    past history : DM/ HTN/ pul Tb/ hepatitis (-/-/-/-) durg allerge (-) smoking(+) Alcohol(+) B. asthema ... 협진의료(내과) < 7월 10일 화요일 > 1. somalgen 370mg/Tab 1 TB 3 1 2. Levopride 25mg/Tab 1 TB 3 1 3. ... 생검 및 소기관제제 12. somalgen 370mg/Tab 1 TB 3번 1일 13.
    리포트 | 14페이지 | 5,000원 | 등록일 2020.03.31 | 수정일 2023.02.24
  • 한글파일 성인간호학 식도암 대상자 사례연구보고서 case study 케이스 스터디 (간호진단2개, 간호과정 2개, a+보장, 피드백 수정 완료, 교수님 극찬하신 케이스 스터디 입니다)
    간호중재 1. 5DW 500mL + morphine 15mg 20cc/hr로 진통제를 투여한다. 2. 대상자의 통증의 강도를 NRS도구를 사용하여 1회/일 측정한다. 3. ... 과거력 : Herniated cervical disc C5-6(2011), TB (2021.04.15) ? ... 과거병력 : Herniated cervical disc C5-6 hx (2011), TB (2021.04.15.) ? 음주 : 무 ?
    리포트 | 4페이지 | 2,500원 | 등록일 2023.04.25 | 수정일 2023.05.26
  • 한글파일 renal cyst, 신낭종, 신종양 - 간호과정
    *1 Aronamic-C Plus/T 1TB *1 Gaster-D 1TB *2 Gasmotin 5mg/T 1TB *1 Andilac Sang 250mg/C 2CP *1 Lipilou ... 20mg/T 1TB *1 esbix 50mg/5ml 1AM IV *3 New fenac 90mg/2ml 1AM IV *2 Botropase 2ml 3/80 36.4 78 20 09 ... +250 ▲사구체신염, 신우신염, 신장의 외상 및 종양 시 나타남 Leukocyte - WBC/㎕ +-10 ▲ 비뇨기계 감염증.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.04.12 | 수정일 2022.07.20
  • 한글파일 [아동간호학] NICU 신생아 황달 케이스 스터디(간호과정 2개)+문헌고찰 A+ 자료
    1 Tb 수치는 13.3으로 정상범위인 10mg/dl 이하로 회복하지 못해 단기목표 1을 달성하지 못했다. → 8/4에 Tb 수치 9.7로 정상범위로 회복해 퇴원하였다. ... 진단명 : 상세불명의 신생아 황달 7/27 7/28 7/29 Tb(mg/dl) 12.7 16.3 20.64 2. ... 상세불명의 Vit D 결핍 ④ 척추측만증과 관련없는 척추의 선천기형 아버지 연령: - 학력: - 직업: 회사원 혈액형: - 어머니 연령: - 학력: - 직업: 회사원 혈액형 Rh+
    리포트 | 17페이지 | 3,000원 | 등록일 2021.12.18
  • 워드파일 신생아황달 고빌리루빈혈증
    태아적아구증 -Rh부적합: Rh+혈액에 노출되어 이에 면역이 있는 Rh-산모가 Rh-아기를 가질 때 생길 수 있음 -ABO부적합: 혈액형이 O형인 산모는 A형이나 B형에 대한 anti-A ... 황달이 나타날 때 1-2일 모유수유 중단하면 TB은 급격히 감소하고 다시 모유수유 해도 모유황달 재발하지 않음. ... 경우 15ml 3.5kg 20ml 하는데 총 걸리는 시간은 2시간 이내로 한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2023.05.30
  • 한글파일 협심증 간호과정(CASE) 간호진단 3개 평가까지(비효율적 호흡양상, 급성통증, 활동지속성 장애) 포함!! 할인자료
    성 명박00성 별여연 령70세키156몸무게54kg진 단 명AP주호소 증상4일 전부터 시작된 호흡곤란과 어제부터 시작된 흉통을 주호소로 내원함과 거 력DM(-) HTN (+) Tb( ... 125.0(pg/ml)Troponin 10.019 ... cannula• dyspnea 증상호소 & SpO₂93 % 이하 시 Notify to Dr 박의사검 사 결 과Lab & Diagnostic test검사 항목참고치NT-ProBNP54.3
    리포트 | 7페이지 | 3,000원 (5%↓) 2850원 | 등록일 2024.04.04
  • 워드파일 Y2O3 Eu 형광체의 합성 및 형광 특성 결과보고서
    그 중에서도 상용화 된 주요 형광체는 Tb3Al5O12:Ce3+ (TAG:Ce),Ba2MgSi2O7:Eu2+,(Sr,Ca)Ga2S4:Eu2+,CaAlSiN3:Eu2+, BaMg2Al16O27 ... 그 중에서도 상용화 된 주요 형광체는 Tb3Al5O12:Ce3+ (TAG:Ce),Ba2MgSi2O7:Eu2+,(Sr,Ca)Ga2S4:Eu2+,CaAlSiN3:Eu2+, BaMg2Al16O27 ... Tb3Al5O12:Ce3+ (TAG:Ce)는 가넷으로 구분되며, 발광색은 황색이다. Ba2MgSi2O7:Eu2+는 실리케이드로 분류되며, 발광색은 녹색이다.
    리포트 | 4페이지 | 2,000원 | 등록일 2021.11.17
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 12일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:28 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기