• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(3,233)
  • 리포트(3,061)
  • 시험자료(101)
  • 자기소개서(36)
  • 방송통신대(13)
  • 논문(12)
  • 서식(8)
  • ppt테마(2)

"Tb3+" 검색결과 61-80 / 3,233건

  • 워드파일 신생아황달 고빌리루빈혈증
    태아적아구증 -Rh부적합: Rh+혈액에 노출되어 이에 면역이 있는 Rh-산모가 Rh-아기를 가질 때 생길 수 있음 -ABO부적합: 혈액형이 O형인 산모는 A형이나 B형에 대한 anti-A ... 황달이 나타날 때 1-2일 모유수유 중단하면 TB은 급격히 감소하고 다시 모유수유 해도 모유황달 재발하지 않음. ... 경우 15ml 3.5kg 20ml 하는데 총 걸리는 시간은 2시간 이내로 한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2023.05.30
  • 한글파일 흉막삼출과 흉수천자(chest tube)
    (고체배지.액체배지)#3 (4/26) 중보 결과(-) TB-PCR (Hybrid)(4/26) Negative ■ sputum 구강,기도,호흡기 배양(4/26) Alpha hemolytic ... 준비물) ① thoracostomy set + double tube 통 (2개정도 준비/왜냐면 흉수량이 많을 수 있기 때문에) ② trocar 12Fr(지하 1층 의료기상사에 판매) ... Streptococcus pneumoniae) 폐렴원인균 선별검사 PCR(4/26) 결과(-) AFB stain (형광법) (4/26) no FAB 배양 (고체배지.액체배지)(4/26)중보결과(-) TB-PCR
    리포트 | 1페이지 | 3,000원 | 등록일 2022.05.04 | 수정일 2022.08.10
  • 워드파일 Y2O3 Eu 형광체의 합성 및 형광 특성 결과보고서
    그 중에서도 상용화 된 주요 형광체는 Tb3Al5O12:Ce3+ (TAG:Ce),Ba2MgSi2O7:Eu2+,(Sr,Ca)Ga2S4:Eu2+,CaAlSiN3:Eu2+, BaMg2Al16O27 ... 그 중에서도 상용화 된 주요 형광체는 Tb3Al5O12:Ce3+ (TAG:Ce),Ba2MgSi2O7:Eu2+,(Sr,Ca)Ga2S4:Eu2+,CaAlSiN3:Eu2+, BaMg2Al16O27 ... Tb3Al5O12:Ce3+ (TAG:Ce)는 가넷으로 구분되며, 발광색은 황색이다. Ba2MgSi2O7:Eu2+는 실리케이드로 분류되며, 발광색은 녹색이다.
    리포트 | 4페이지 | 2,000원 | 등록일 2021.11.17
  • 한글파일 [화학공학실험] 고체의 열전도도 측정 실험 예비보고서(A+실험레포트)
    R _{at} =2R _{o} +R _{a} 식 (3-8) R _{bt} =2R _{o} +R _{b} 식 (3-9) 여기서 접촉면 저항 R _{0}는 모든 위치에서 동일한 값을 갖으며 ... ta}, R _{x,tb})은 식 (3-8)과 (3-9)로 나타낼 수 있다. ... R _{x,tb} -R _{x,ta} = {X _{b}} over {bar{k _{x,tb}}} - {X _{a}} over {bar{k _{x,ta}}} 식 (3-11) R _{b
    리포트 | 8페이지 | 1,000원 | 등록일 2021.03.18 | 수정일 2021.04.01
  • 워드파일 고체 열전도도 측정
    △TA = , △TB = , △TC = △T = △TA + △TB + △TC (7) 열손실 열손실이란 앞에서 살펴본 전도, 대류, 복사 또는 이들의 조합으로부터 내부에서 외부로의 열의 ... 고체 벽 및 중공구 벽을 통하는 열전도도에 의한 열 손실을 이해한다. ④ 화학 장치 등의 보온, 보냉 재료에 대한 선택의 기초자료로서 열전도도를 이해하고 그 측정방법을 습득한다. 3. ... 이것이 상승하면서 위쪽으로 에너지가 전달된다. - 복사(Radiation) 복사는 열에너지를 가진 물체가 전자기파를 방출하면서 공간적으로 떨어진 곳에 에너지를 전달하는 과정이다. (3)
    리포트 | 6페이지 | 1,000원 | 등록일 2021.06.27
  • 워드파일 [기기 분석실험] HPLC를 이용한 물질 분리 예비레포트
    = (tb‘ - ta’) / ((wa + wb)/2)) tb‘ = y축에서 시료 b peak까지의 거리 ta’ = y축에서 시료 a peak까지의 거리 wa = 시료 a의 peak ... 또 용리에 방법에는 대체로 3종류가 있다. 이중에서 다성분을 동시에 분석하는 gradient 법이 가장 유리하지만 시간이 오래걸린다. ... ) 혼합된 시료의 분배가 일어나는 컬럼(Column) 5) 성분의 검출을 위한 검출기(Detector) 6) 기기제어 및 시그널 수집 분석하는 제어시스템(Integrator:컴퓨터+소프트웨어
    리포트 | 7페이지 | 1,500원 | 등록일 2020.04.03
  • 한글파일 [경희대/기초회로실험/A+보고서] 4강 옴의법칙, 키르히호프법칙
    공급전원 I _{TA}I _{C}I _{D}I _{TB} 계산값 측정값 계산값 측정값 계산값 측정값 계산값 측정값 2 3 V 10.128m 9.911m 6.694m 6.594m 3.433m ... 시뮬레이션 결과를 보면, 초록색( I _{TA}), 노란색( I _{TB})=10.1mA, 빨간색( I _{C})=6.5mA, 파란색( I _{D})=3.4mA가 측정되었고, 이는 ... R _{T} `=` {R _{2} R _{3}} over {R _{2} +R _{3}} 따라서 전체 저항은 R _{1} +R _{T} +R _{4}가 되며 옴의 법칙을 이용해 전체
    리포트 | 8페이지 | 2,500원 | 등록일 2021.09.12
  • 한글파일 심장의 기능 부전과 관련된 비효과적 호흡양상
    Tbc(+) hepatitis(-) : TB pleurisy(50YA) 현재 약물처치 가족력 - Hypertension(-) Malignancy(-) Arrhythmia(-) - ... , Severe TR with Secondary resting pulmonary HTN(16YA), Bronchiectasis(8YA), Tbc(+), TB pleurisy(50YA ... thromboplastin time (aPTT) (25~35 ec) 34.4 Chest PA EKG → Pretibial pitting edema(+++/+++) → cool &
    리포트 | 12페이지 | 2,000원 | 등록일 2023.11.05 | 수정일 2023.11.14
  • 한글파일 [아동간호학] 황달 Neonatal Jaudice NICU 케이스 스터디 (간호 진단 2개+간호과정+문헌고찰)
    . · moro reflex(+/symmetric) 즉각적으로 눈에 띄게 반응을 보임 · barbinski r ... 중 11/1 검사한 TB 수 치 15.6mg/dl 나와 본원에 입원하게 되었음. · Apgar score: 타원출생으로 모름 -담당 간호사에게 문의한 결과 조리원에서 관련 내용을 ... -산소 26일 8am~6Pm 까지 제공 후 26~27일에 anti 박타신 치료 후 퇴원(360mg/kg, #2) -10/28 다른 OO산후조리원에서 검사 결과 TB10mg 나와 관찰하던
    리포트 | 27페이지 | 1,500원 | 등록일 2023.05.08 | 수정일 2023.05.12
  • 한글파일 성인간호 컨퍼런스 우수자료, COPD (만성폐쇄성폐질환), 간호진단 3개&간호과정 1개
    + 간호진단 3개 + 간호과정 1개 1. ... ) Alcohol: Social TB(+), HTN(+), DM(-), Op Hx(+) Weight change(+), Anorexia(+) Epigastric discomfort( ... 145 Potassium 3.5 3.4-4.9 CRP 0.11
    리포트 | 4페이지 | 2,500원 | 등록일 2021.07.13 | 수정일 2021.12.29
  • 워드파일 지시약과PH
    In-] /[ HIn]= KIn / [H3O+]이므로 높은 [H3O+]에서는 산형 HIn의 색이 나타나고 낮은 [H3O+]에서는 염기형의 In-의 색이 나타날 것이다. ... HIn(처음 색) + H2 O → H3O+ + In- ( 처음과 다른 색) , KIn = [H3O+][In-] / HIn 지시약의 색은 [In-] /[HIn] 에 의하여 결정되면 [ ... MO는 1,2,3는 붉은 계열 4는 주황색 계열 7,10은 노란색 계열을 띄었다 TB용액은 1,2은 연한 핑크색 3,4는 무색 7은 노란계열 10은 파란색을 띄었다. 6.result
    리포트 | 4페이지 | 1,500원 | 등록일 2020.04.02
  • 한글파일 [방송통신대학교]컴파일러구성_동영상강의,기출_핵심요약노트
    공집합 2. ε (이것도 하나의 터미널 기호) 3. a ∈ V아래첨자T (터미널 기호들로 이루어짐) 4. (a) (P+Q) ((a+b)는 (a|b)와 같음. ... 터미널 기호가 나오면 더 이상 갈 곳이 없음) A -> tB (터미널 기호가 나오고 그 다음 논터미널이 나옴. ... T | T T -> T * F | F F -> (E) | id 여기 첫째줄에서 E -> E + T -> E + T + T -> T + T + T ...
    방송통신대 | 23페이지 | 3,000원 | 등록일 2023.04.09
  • 한글파일 SBAR 의사소통 보고서 - 근무 중 있었던 환자의 간호문제
    (+) 후 ICU 전실 ... A 현재 v/s BP 80/60mmHg, HR 220회/min, RR 32회/min, BT 36.8’c, SpO2 80% 측정되며 mental stupor(Lv.3)으로 의식수준 점점 ... 발생한 것으로 추정됨 bronchoscopy 시행 후 high flow nasal cannula 80%/60LPM 변경 staphylococcus aureus detected and TB
    리포트 | 2페이지 | 3,000원 | 등록일 2023.08.09
  • 파워포인트파일 결핵 및 NTM 정의 진단 치료 간호
    AFB 도말 (+) TB PCR(+) ↓ AFB 도말 (+) TB PCR(-) ↓ AFB 도말 (-) TB PCR(+) ↓ AFB 도말 (-) TB PCR(-) ↓ 결핵으로 추정 NTM ... 결핵의 진단 폐결핵의 진단 과정 최소 2 회 , 가능한 3 회 객담 도말과 배양검사 그리고 1 회 결핵균 핵산증폭검사 (TB-PCR) 를 시행 TB PCR 검사는 위양성 , 위음성의 ... Mycobacerium leprae 등의 병원성 균 종 3.
    리포트 | 28페이지 | 1,000원 | 등록일 2019.09.10
  • 한글파일 NP case study (정신간호학 케이스스터디), Schizophrenia (조현병)- 간호진단3개, 간호과정1개: 타인에 대한 불안과 관련된 사회적 고립 (최신 작성)
    *01 PO @20:00 (+ CBC, proth0 Pentasa SR 1G/T 1TB @20:00 Ativan 1mg/T 1TB @20:00 Dulcolax S 2TB (5/15 ... ) - Seroquel 200mg/T 1TB @08:00 Solian 400mg/T 1TB @08:00 Proimer 5mg/T 1TB @08:00 Indenol 40mg/T 1TB ... @08:00 Ursa(=UDCA) 100mg/T 1TB @08:00 Gaslon N ODT 2mg/T 1TB @08:00 Pentasa SR 1G/T 1TB @08:00 Pristiq
    리포트 | 9페이지 | 1,500원 | 등록일 2019.11.13
  • 한글파일 뇌졸중 솝노트 stroke soap note
    Potine infarction Phx) HTN/DM/Old Tb/Hepatitis(+/-/-/-/-) Onset: 23.7.8 2:30pm Evaluated date: 7/14 23.7.11 ... BBS (40/56) Selective hand motion +/+ Opposition +/+ 5-5th T_T 단추풀기 , 잠그기 +/+ 속도와 정확성은 떨어짐. ... STG (1~2주차) BBS 40 > 45점 Dynamic balance F > G 보행시 left side arm swing 획득 Gait with supervision LTG (3주
    리포트 | 4페이지 | 2,000원 | 등록일 2023.08.11
  • 한글파일 폐결핵 간호과정 (진단5, 과정3)
    - 6/10 07:42 : Tb po 아침식전 (+) CXR 변화X, Lt. ... -약물치료 Ⅳ 치료경과 및 계획 1) 간호일지 - 6/8 16:00 : 140/70 , 90 , 27 , 38.8 , 92% 측정 Tb Po 저녁식전 (+) - 6/9 12:40 : ... 1.030 ▲ 당뇨병, 산증, 체액상실 ▼ 고인슐린증, 갑상선 기능 저하증 pH 6.0 4.5~8.0 ▲ 요도감염, 유분부 협착 ▼ 신장기능저하, 고단백식이 Urien Leukocyte 3+
    리포트 | 1페이지 | 2,500원 | 등록일 2022.08.21
  • 한글파일 A+) 성인간호학 COPD 케이스 스터디(진단 2개, 과정 2개)
    BE -2-+2 mmol/L 11.4 염기과잉수치를 나타낸다 HCO3 (bicarbonat를 낮춤으로 체온을 내리게 한다. 간호 수행 1. 체온을 지속적으로 사정 하였다. ... 후 2016년 MDR TB로 호흡기내과 F/U - 과거(2017년도)에 약 부작용으로 인해 신장투석을 3개월간 진행(현재X) ④ 가족력(family history) : 암, 고혈압 ... 인계 중 특이사항 - 4/23 tracheostomy tube (reinforced 7.5mm) - 4/18 PICC(+)→매주 금요일 dx - Folwer’s position 교육
    리포트 | 18페이지 | 2,500원 | 등록일 2024.01.24 | 수정일 2024.03.04
  • 한글파일 심부전 성인케이스 (Atrial fibrillation, CHF) A+자료입니다. 간호진단 3개, 간호과정 3개
    지참약(+) - stilnox 10mg/T 1TB (자기 전 PO) - mucopect 30mg/T 1TB (아침, 저녁 식후 30분) - CnU 250mg/C 1cp (아침, 저녁 ... 이에 따라 7/01부터 EKG monitor 상 HR 140~160회, A.fib checked 되어 Cordarone 300mg + N/S 100ml/BT mix하여 for 30min ... CHF aggravation으로 인해 I/O check결과 7/1부터 현재까지 I/O positive +500~1000로 7/6 = 2580/1660, 7/ion중임, lip cyanosis
    리포트 | 24페이지 | 2,000원 | 등록일 2022.03.14
  • 한글파일 간호관리학 케이스스터디(casestudy) - 전문직간 협력 및 조정을 중심으로 (진단3개, 과정없음)
    박테로신 + 박티그라 거즈 cover + 폼 cover 요청함. 2) 간호사-의사 Notify (24.03.11) 조영 CT 예정으로 의사가 간호사에게 자정 후 금식을 요청하여 간호사는 ... 1CP TID 트라스펜 정 1TB TID -> 수술부위와 명 : 모사 정 성분 및 함량 : mosapride 5㎎ 효능효과 : 위장관 조절제 11. ... QD[9] 자디앙정25mg 1TB QD[례 1) 의사-의사 Consult (24.03.12) 대상자의 당뇨 과거력이 있어 수술시 발생가능한 OP Lisk를 의뢰하고자 내분비내과에
    리포트 | 11페이지 | 2,500원 | 등록일 2024.05.26
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 03일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:52 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기