• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(3,235)
  • 리포트(3,063)
  • 시험자료(101)
  • 자기소개서(36)
  • 방송통신대(13)
  • 논문(12)
  • 서식(8)
  • ppt테마(2)

"Tb3+" 검색결과 81-100 / 3,235건

  • 한글파일 간호관리학 케이스스터디(casestudy) - 전문직간 협력 및 조정을 중심으로 (진단3개, 과정없음)
    박테로신 + 박티그라 거즈 cover + 폼 cover 요청함. 2) 간호사-의사 Notify (24.03.11) 조영 CT 예정으로 의사가 간호사에게 자정 후 금식을 요청하여 간호사는 ... 1CP TID 트라스펜 정 1TB TID -> 수술부위와 명 : 모사 정 성분 및 함량 : mosapride 5㎎ 효능효과 : 위장관 조절제 11. ... QD[9] 자디앙정25mg 1TB QD[례 1) 의사-의사 Consult (24.03.12) 대상자의 당뇨 과거력이 있어 수술시 발생가능한 OP Lisk를 의뢰하고자 내분비내과에
    리포트 | 11페이지 | 2,500원 | 등록일 2024.05.26
  • 한글파일 아동간호학 생리적황달 문헌고찰과 간호과정 2개
    사례대상자 생후 3일부터 피부와 공막이 icteric color를 보이고, TB 수치 결과 16mg/dl 측정되는 생리적 황달 진단 받음 치료 문헌 광선요법을 통해 빌리루빈의 구조를 ... 출생력 1) 출생장소: oo병원 2) 재태기간: 36+1 wks 3) 분만형태: C/S 4) 출생시 체중: 2.7 Kg 출생시 신장: 47.5 cm 출생시 두위: 34.5 cm 출생시 ... observation 하다가 증상 지속되어 TB검사 결과 16mg/dL 측정, phototherapy위해 외래 경유하여 입원함. d.
    리포트 | 13페이지 | 2,500원 | 등록일 2021.07.11
  • 한글파일 폐결핵 결핵 A+ 케이스 CASE STUDY 간호진단 간호과정 2개
    PCT+ 소견으로 anti TB med, start 후 supportive care 위해 본원 adm함. ② 입원시부터 간호 사정일까지의 상태 경과: 2019-04-01 11:20 ... 30 - neg ± 100 5.5 1.023 + 25 - neg Yellow Clear +++ 25 - neg ± norm + 10 + 30 - neg - neg 5.5 1.023 ... 간호평가 - 단기목표: 대상자는 3일 이내로 식사의 2분의 1이상 섭취한다.(달성) - 장기목표: 대상자는 퇴원시까지 체중이 3kg 증가한다.
    리포트 | 13페이지 | 2,000원 | 등록일 2020.05.05
  • 한글파일 흙의 함수비, 비중, 체가름 시험
    “비중병+건조토+증류수”의 무게(Wb)와 온도(Tb)를 재고 기록한다.(단, 무게를 먼저 잰다. ... 종이 테이프 3. 습윤토 4. 건조로 5. 함수비 캔 저울 캔 건조토 미 건조된 흙 3. ... 0.998022 0.998022 Corrected of Wa’(Wa), g 151.20 169.37 Bouyant Force(Fb), g 10.08 10.15 Gs’ Gs’ at the Tb
    리포트 | 19페이지 | 2,000원 | 등록일 2024.05.26
  • 한글파일 성인간호학 간경화(Liver cirrhosis)+간성혼수(Hepatic encephalopathy) case study A+ 자료 실제 간호사 작성
    PLT (42K), PT (23%) -> FFP 3pint, Apheresis 1pint(+), Vit K IV3, 6a Hb (6.6) : RBC 1pint(+) 5. 23년도에 ... 자료수집 객관적 자료 po2 75% N.C 4L apply latent TB 주관적 자료 Adm 당kr) ... 수 행 1.활력징후를 매시간 확인하였다 2.I.O target 확인하였고 +1500 이상이 되어 noti후 이뇨제를 처방받았다 3. serum albumin, ammonia 수치를
    리포트 | 12페이지 | 2,500원 | 등록일 2024.04.12
  • 한글파일 성인간호학 실습 케이스(기도청결)
    자료수집 양식 1) 대상자 간호사정을 위한 기초자료 수집 (1) 현병력 ○ 과거력 - HTN/DM/Hepatitis/Allergy/Tb(+/+/-/-/+) - 2012년 경 통풍진단 ... 의식은 명료하고 GCS E4, V5 M6, 동공반사 2+ 2+. 오전 8시 20분 Chest CT 결과에서 결핵, 폐암, 농양 3가지 가능성 있으나 결핵 가능성 높다함. ... 오전 9시 대상자에게 뮤테란 주사(0.3g/3ml) IS로 투약 후, 오전 11시 기도분비물이 감소함.
    리포트 | 26페이지 | 2,500원 | 등록일 2022.03.29 | 수정일 2022.04.18
  • 한글파일 간호 케이스 스터디 CASE STUDY 비효율적인 호흡양상
    객관적 자료 -BG pCO2: 52 -AFB 2+ -TB culture M.tb 양성(+) -Chest PA: Bronchiectasis in RUL. ... 불안 3). 흉벽 기형 4). 분비물 축적 5). 과소환기 ... 보호자와 환자에게 용량 강화폐활량계를 하루에 3번이상 실시하도록 교육한다. ?
    리포트 | 2페이지 | 1,500원 | 등록일 2019.07.19
  • 한글파일 제왕절개 case 입니다. 간호진단/과정 3개씩 작성되어있습니다.
    Tb(+, 현재). Hepatitis(-) * 가족 구성원과 가족력(Family history) : HTN(-). DM(-). Tb(-). ... 산소 부족으로 인해 38주에 C/sec하자고 의사의 권유로 입원하심. * 현 질병과 관련된 치료경력 : Tb(+, 현재). * 과거병력(Past illness history) : HTN ... POD#3. 남편의 부축을 받으며 일어나셔서 병동을 한 바퀴 걸어 다니셨다. ?통증이 감소하여 이제 조금씩 움직일 수 있다고 말씀하셨다.
    리포트 | 10페이지 | 2,000원 | 등록일 2021.01.04 | 수정일 2021.02.02
  • 파일확장자 분만장 케이스스터디case study 유도분만induction labor
    태동(+)3.산모의 표정, 언어, 행동 등과 관련된 특성을 서술한다.: 얼굴 표정을 통해 심한 통증은 없어보임보호자 상주에 관련 질문을 하며 당황스러워 보임. ... 제왕절개 분만력 없음.3.현재 산과력-최종월경일: 2020.07.17 -임신기간:39+5wks-분만예정일:2021.04.23-산전진찰여부: 유 / 정기적 / 본원 -최종진찰일 2021.04.19 ... -흡연: (-) -감염성 질환: (-)-질환: HTN / DM / TB (-/-/-) -수술과거력: (-) -입원과거력; (-)-가족력 부: HTN 모: 갑상선기능항진증2.
    리포트 | 11페이지 | 1,500원 | 등록일 2021.05.09
  • 한글파일 뇌경색 간호과정(출혈위험성, 언어적 의사소통장애)
    동공크기 3+mm/3+mm로 모양은 Round로 Isocoric하여 정상이었다. 근력평가 시 Rt. grade 4점, Lt. grade 5점 (drift있음.)으로 측정되었다. ... *01, Lipitor 20mg 1TB*01, Proscar5mg 1TB*01, Eliquis2.5mg 1TB*01, Clexane 60mg 1syringe*01를 투여하고 있는 상태이다 ... 단기목표 - 대상자는 3일 이내에 출혈 예방 수칙을 2가지 이상 실천한다. - 대상자는 3일 이내에 PLT 수치가 정상범위로 돌아온다. 간호 계획 1.
    리포트 | 21페이지 | 3,000원 | 등록일 2022.04.25 | 수정일 2023.09.14
  • 한글파일 결핵검사 및 호흡기 검사 자료 정리
    확인할 수 없다. - 고체배지에 비해 오염률이 높다 결과보고) AFB culture 양성(액체배지) AFB culture 음성(액체배지) : No Growth AFB stain (+) ... 결과의 해석) ① 양성: Active TB/ Latent TB ② 음성: 비감염/ NTM/ M. bovis BCG 추천 검사) ? ... 그러나 배양검사는 특수배지를 사용하고 2-3주 동안 배양해야 하므로 선별검사로는 적합하지 않기 때문에 혈청학적 진단을 수행한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.05.10
  • 한글파일 지역사회 보건사업의 기반이 되는 관련 법안을 기술하고 제안점 제시 - 감염병질환관리사업(결핵)
    MDR-TB 퇴치를 위한 국내 역량 강화 2. 국제적인 역량과 협력을 향상 3. ... menu Id=1&dt=20201211&query=%EC%A7%80%EC%97%AD%EB%B3%B4%EA%B1%B4%EB%B2%95+%EC%A0%9C+11%EC%A1%B0+1%ED% ... menuId=1&dt=2 0201211&query=%EC%A7%80%EC%97%AD%EB%B3%B4%EA%B1%B4%EB%B2%95+%EC%A0%9C+11%EC%A1%B0+1%ED%
    리포트 | 13페이지 | 2,500원 | 등록일 2022.08.28 | 수정일 2023.02.19
  • 워드파일 adenocarcinoma 폐암환자 케이스 case
    cisplatin 4회 pemetrexed maintenance 2회 받았던 자로 내원 3일 전부터 호흡 곤란 및 우측 흉통 발생하여 응급실 내원 이후 입원함 HTN/DM/Tb/Hepatitis ... +/-/-) Dyspnea (+) Hemoptysis (-) Wheezing (-) Chest Pain (+ right) Palpitations (-) Dizziness (-) Swelling ... Tenderness (-)/Rebound tenderness (-) Left CVAT (-) Extremity none #1 Dyspnea #2 Right chest pain #3
    리포트 | 4페이지 | 3,800원 | 등록일 2021.10.05
  • 한글파일 간호관리학 인수인계보고서
    진단: HCC(Hepatocellular carcinoma) 수술: Laparoscopy S6 wedge resection of liver (2/1) POD #1 과거력: pulm TB ... 진단검사 10/10 (17:00) 10/11 (09:00) 정상 수치 Albumin 3.0 ▼ 2.5 ▼ 3.8~5.1 AST(SGOT) 248 ▲ 308 ▲ 9~40 ALT(SGPT ... ) 122 ▲ 155 ▲ 0~40 Ca 7.7 ▼ 6.9 ▼ 8.5~10 P 3.2 3.1 2.7~5.1 Hb 11.5 9.5 ▼ 12~16 PT(sec) 17.4 ▲ 19 ▲ 11
    리포트 | 3페이지 | 2,500원 | 등록일 2024.01.29 | 수정일 2024.01.31
  • 한글파일 성인간호학 - AV block
    culture 3/20 정상치 결과해석 Color Straw Transparency clear Urobilirogen +-0.1 +-mg/dL pH 5.5 5.0-8.0 S.G 1.015 ... (타병원) 약 1년전 Tb dx -> po tx. ... 16:40 V/S BP 160/70mmHg, T 36.5℃, P 48회/min, R 20회/min, SPO2 100% 19:00 NRS 4 -> Tylenol ER 650mg 2TB
    리포트 | 27페이지 | 2,000원 | 등록일 2023.04.13
  • 파워포인트파일 건축 설비 사례 LH본사 신사옥
    공급수온 조정 2. 2WAY 밸브 + 습도센서 3. 3WAY+ 온도센서 2way 밸브를 배관에 설치한 것은 배관에 상대 습도센서를 설치해서 결로 발생 시 밸브를 닫습니다 . 3WAY ... 서측에는 3 개 층마다 아트리움을 설치하여 일사차단 및 휴게공간을 계획하였습니다 . ... num=6134 tb=A page=1#.XvYpGSgzaUl 공조실 PLAN 출처 : http://www.aurum.re.kr/Bits/BuildingDoc.aspx?
    리포트 | 15페이지 | 3,000원 | 등록일 2021.05.22 | 수정일 2022.02.01
  • 한글파일 폐결핵 케이스 / Tb 사례연구 보고서
    8kg for 5개월) develop. - 내원 1개월 전부터 dyspnea 발생하여 LMC visit 후 check한 sputum상 AFB 3+ 되어 anti Tb drug medi ... Tb 진단하에 anti TB drug medication 후 완치 판정 Hx. - 내원 2개월 전부터 coughing, yellow sputum, fatigue & Bwt loss( ... 서론p.3 Ⅱ. 본론 1. 문헌고찰p.3 2. 자료수집p.6 3. 임상검사p.12 4. 약물조사p.14 5. 간호과정p.16 Ⅲ. 참고문헌 Ⅰ. 서론 1.
    리포트 | 19페이지 | 3,000원 | 등록일 2024.04.19 | 수정일 2024.04.23
  • 한글파일 COPD(만성 폐쇄성 폐질환) 간호진단 및 간호과정 3개(계획까지) / 가스교환장애, 급성 통증, 고체온
    발한 및 오한(+) ? 피부홍조(+) ? CBC검사 결과 - WBC 10/3: 18.81▲ ? ... 25mg 2TB/Bid po 투여함 Cough syrup(기침 완화제) 3ml/Tid PO 투여함 Erdosteine(거담제) 300mg 2TB/Bid PO 투여함 Acetylcysteine ... F(거담제) 25mg 2TB/Bid po 투여함 Cough syrup(기침 완화제) 3ml/Tid PO 투여함 Erdosteine(거담제) 300mg 2TB/Bid PO 투여함 Acetylcysteine
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 파워포인트파일 [PPT]데이터 저장장치
    삼성전자 MUF-DB DUO PLUS ( 256 GB ) 1)HDD 2)SSD 3) USB 메모리 4) SD 카드 06 가격변동 및 전망 1)HDD 2)SSD 3 ) USB 메모리 ... 삼성전자 MUF-DB DUO PLUS (32GB) 가격변동 및 전망 06 USB 메모리 / USB3.0 (5Gbps) / 단자 형태 : Type A / 읽기 속도 : 150MB/s ... 용량 쉬운 사용방법 최근 무선연결을 통해 연결할 수 있는 외장하드도 등장하기 시작 외장하드 USB 와 플래시 메모리를 결합한 것 USB 포트에 꽂아서 사용 편의성 , 휴대성 우수 1TB
    리포트 | 39페이지 | 1,500원 | 등록일 2021.10.01 | 수정일 2021.12.25
  • 한글파일 IM(내과) study case DCMP (Dilated cardiomyopathy) -확장성 심근병증
    3.125mg/T (종근당) 1.00 TB *02아침저녁식후 30분 PO for 70days 본원 2018.04.12 Lasix 40mg/T (한독) 0.50 TB *01 아침식후 ... 환자 일반 정보 이름: 윤O 나이: 68 성별: F 혈액형: Rh+ O 직업: 자영업 교육 정도: 초등졸업 결혼상태: 기혼 종교: 기독교 2. ... DCMP가 염증에 의한 심근 손상에 의한 것이라고 예측할 수 있다. ③ 미생물 검사 - 검체: stool (검사결과: 2018.06.08) 검사명 검사결과 G.stain gram (+)
    리포트 | 19페이지 | 5,000원 | 등록일 2019.10.27 | 수정일 2022.06.20
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 12일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:17 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기