• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(3,235)
  • 리포트(3,063)
  • 시험자료(101)
  • 자기소개서(36)
  • 방송통신대(13)
  • 논문(12)
  • 서식(8)
  • ppt테마(2)

"Tb3+" 검색결과 21-40 / 3,235건

  • 한글파일 고분자물질의 가수분해(미생물의 생화학적 성질 시험)레포트
    물 150ml + 전분 0.3g(0.2%) + 3.75g LB + 2.25g Agar(1.5%)를 삼각플라스크에 넣고 잘 흔들어 섞은 후 호일로 감싸 가압습식 멸균을 했다. 2. ... 증류수 120ml + LB 3.75g를 교반기로 혼합한 뒤 마그네틱 바를 제거하고 Agar 2.25g을 넣고 멸균했다. 3. 1 + 2를 합하고 10% Skin milk 30ml를 ... 요오드 용액으로 염색하여 콜로니 주위의 투명환을 관찰 했다. [2]CMC (섬유소) 가수분해 1. 1% CMC용액 75ml + 증류수 75ml + 3.75g LB를 삼각플라스크에 마그네틱
    리포트 | 5페이지 | 1,000원 | 등록일 2020.12.01
  • 한글파일 온도 보정
    F=1.8 CENTIGRADE +32 ③절대온도 1848년 켈빈(W.톰슨)이 도입하였다. 기호는 K(켈빈)으로 표시한다. ... (t100 - t0) : (t - t0) = (Tb - 0) : (T - 0) ? (t100 - t0) T = (t - t0) Tb ? ... T=Tc+273.15 2)온도계 보정식 온도계로 물의 어는점 t0와 끓는점 t100 을 결정한 후, 온도계보정식을 사용하면 측정한 온도 t에 대응하는 보정된 온도 T를 구할 수 있다
    리포트 | 6페이지 | 2,500원 | 등록일 2023.10.25
  • 한글파일 [성인간호학 실습] 백병원 호흡기내과(10B)병동 공부 자료(지침서 일지에 적기 좋아용)
    MED) 내과 * Urology (URO) 비뇨기과 * GYN * PSR * REH * ANE = Anesthesiology and pain medicine 마취통증의학과 * Q-Tb ... PCD 간호 0) PCD 시술 전 간호 (0) permission( + ) : 환자의 농양의 원인을 확인하고 배액을 용이하게 하기 위해 시행되는 검사라고 알리고 환자에게 동의서를 받습니다 ... Perm Cath(터널식 카테터) = 반창고 위+아래 두개 0) 적용 (0) 혈액투석을 장기간 할 것으로 예상되는 경우 (1) 당장 투석 루트가 없을 경우 = 동정맥루 수술(Arteriovenous
    리포트 | 24페이지 | 2,000원 | 등록일 2024.03.11 | 수정일 2024.03.14
  • 한글파일 디지털 시스템 설계 및 실습 전감산기 설계
    x yz 00 01 11 10 0 0 1 1 1 1 0 0 1 0 B = x’y + (x ? y)’z 3. 전감산기의 블록도 4. ... 카르노 맵을 이용해 전감산기의 간소화된 논리식을 구하라. x yz 00 01 11 10 0 0 1 0 1 1 1 0 1 0 D = x’y’z + x’yz’ + xy’z’ + xyz ... `timescale 1ns/10ps module tb_MyFulladder; reg x,y,cin; wire D,B; MyFulladder tb(x,y,cin,D,B); initial
    리포트 | 3페이지 | 1,000원 | 등록일 2020.11.02
  • 한글파일 디지털 시스템 설계 및 실습 n비트 가감산기 설계 verilog
    [3:0]; end end endmodule 2) tb_BCD.v module tb_BCD; reg [3:0] a; reg [3:0] b; reg C_in; wire [3:0] sum ... ; reg [4:0] sum_mid; reg [3:0] sum; reg C_out; always @(a,b,C_in) begin sum_mid = a+b+C_in; if(sum_mid ... > 9) begin sum_mid = sum_mid+6; C_out = 1; sum = sum_mid[3:0]; end else begin C_out = 0; sum = sum_mid
    리포트 | 4페이지 | 1,000원 | 등록일 2020.11.02
  • 한글파일 [성인간호학실습 A+] 폐결핵 케이스, 결핵 케이스, pulmonary tuberculosis case,pulmonary tuberculosis case study
    +) : Pulmonary Tb 진단 수술력 (-) 약물치료 (+) : Ethambutol, Isoniazid, Rifampin, Pyrazinamide 복용함. 2. ... 증상 Tb는 매우 천천히 발병하므로 초기에는 피로감과 신경 예민이 나타나거나 Tb가 상당히 진전 될 때까지는 무증상일 수 있다. ... 입원일 : 00년 00월 00일 - 입원경로 : ER, 눕는 차 - 입원동기 : Pulmonary Tb 진단받아 Tb medication 복용중인 분으로 5일 전부터 하루 종일 hiccup하며
    리포트 | 21페이지 | 3,500원 | 등록일 2021.07.21 | 수정일 2024.05.09
  • 한글파일 성인간호학실습 - A+/내과/IM/급성골수성백혈병/AML/케이스스터디/성인간호학
    Cocci, Group3: WBC>25, Ep.cell>25/LPF XX/XX G(+) Cocci, Group3: WBC ... Gasmotin SR 15mg/T 1TB *01 PO Peniramin 2mg/T 1TB *03 PO Vfend 200mg/T 1TB *02 - 알레르기 유무: 항생제 : 없음 약 ... TierⅡ ; NFI, NRAS, PIPNⅡ, WTI # s/p AD induction (XX.XX.XX): PR → f/u BM(XX.XX.XX) : cell>90%, blast 3.3%
    리포트 | 27페이지 | 2,500원 | 등록일 2023.03.16 | 수정일 2023.03.19
  • 한글파일 SBAR로 인수인계하기 예시 (의사에게 보고하기)
    라보에서 CBC랑 Chemical은 normal하신 상태이고, 첫 번째 객담에서 AFB(3+), TB-PCR positive 나왔습니다. ... 라보에서 CBC랑 Chemical은 normal하신 상태이고, 첫 번째 객담에서 AFB(3+), TB-PCR positive 나왔습니다. ... ), TB-PCR(+) 환자 Complain “금일 아침 자고 일어나서 기침이 더 심해지면서 가래에 피가 섞여나와요.”
    리포트 | 1페이지 | 1,000원 | 등록일 2020.10.18 | 수정일 2023.03.19
  • 한글파일 신생아황달 간호과정, CASESTUDY, 아동간호학실습, A+보장
    Yellow - 황달로 인한 Phototherapy (+) - 임상 화학검사 항목 정상수치 5/3 TB 0.4~1.5 mg/dL 14.8▲ ALP 35~95 IU/L 196▲ - Physical ... O-data - 재태연령 36 +6 주 - C.C 4/29 TB :8.6mg/dL 측정되어 관찰하다가 5/1 OPD f/u에서 TB :14.2mg/dL 측정됨. - Dx. ... 간호평가 - 대상자는 3일 내로 TB수치가 2mg/dL로 떨어졌는지 확인한다. - 대상자에게 5일 내로 icteric한 피부가 나타나지 않는 지 확인한다. - 대상자에게 광선요법으로
    리포트 | 2페이지 | 2,500원 | 등록일 2020.10.14
  • 파워포인트파일 청운대학교 인천캠퍼스 IT기술의 이해와 동향 한도 금액에 따른 PC 세팅 과제
    WD10EZEX 47,150 1TB SATA3(6Gb/s) 7,200 게임 매니아 2TB Barracuda ST2000D M006 65,800 2TB SATA3(6Gb/s) 7,200 ... 230V 500W 34,240 쿨러마스터 500w 무상 3 년 일반 사무 Classic ll 500W+12V single Rail 85+ 44,000 마이크로닉스 500w 무상 6 ... 가격 디스크 용량 인터페이스 회전수 (RPM) 사용 분야 1TB Barracuda ST1000DM010 47,100 1TB SATA3(6Gb/s) 7,200 일반 사무 1TB BLUE
    리포트 | 17페이지 | 1,000원 | 등록일 2020.07.18
  • 한글파일 A+ AF케이스, 심방세동케이스(간호과정5, 간호사정, 진단검사결과, 근거 등)
    Arm 20G + Angio Needle에 3-way 연결 후 Extesion 연결 Lt. Arm 불가능 시 Rt. ... Arm 20G + Angio Needle에 3-way 연결 후 Extension 연결 관장 및 Voiding 유무 Full voiding 여부 확인 전 처치 기타사항 TEE 및 TTE ... 대상자는 출혈을 예방할 수 있는 방법에 대해 3가지 이상 말할 수 있다. 대상자의 v/s을 3시간 간격으로 측정한다.
    리포트 | 23페이지 | 2,500원 | 등록일 2023.01.24 | 수정일 2023.05.04
  • 한글파일 (A+보장,39장)혈액종양내과(IM)실습 케이스,PNH,환자정보,약물8장,간호진단3개,간호과정3개
    2mg 1T, Nesina 25mg 1T Diamicron MR 30mg 1T Toujeo Solostar 450IU (아침식전 10단위) -아침식후 qd Methylon 4mg 3TB ... 구토의 증상 치료 Cefotaxime Cefotaxime 2g/V, 1VI IV*03 + Mix Normal Saline 100ml/ Bag, 1BG IV*03 3세대 세팔로스포린계 ... Cloudy Bilirubin - Urobilinogen +- +- Keton body +10 - Protein ++100 - Nitrite - - Glucose +100 - pH
    리포트 | 39페이지 | 10,000원 | 등록일 2021.02.05
  • 한글파일 급성신부전 CASE STUDY, 인수인계하듯 정리해두었습니다. 급성 신부전 시 check 하여야 할 수치들만 뽑아서 인수인계하듯 정리해두어서 발표하기 편하실거에용 시간 흐름에 따라서도 정리해 두었습니다 실제로 발표했을때 교수님께서 신규간호사처럼 인계준다고 칭찬해주셨습니다.
    Tasna(NaHCO3)500mg/T 1TB PO*02 ? ... Tasna(NaHCO3)500mg/T 1TB PO*02 -Aprovel 300mg/T 1TB PO *01 -Normal saline 1L 1BG *01 BP 140/100-140/90 ... Tasna(NaHCO3)500mg/T 1TB PO*02 -Dulcolax 좌약 10mg/pill 1PI DIC*01 ?Duphalac ?
    리포트 | 3페이지 | 2,000원 | 등록일 2019.09.08
  • 파워포인트파일 결핵의 병태생리 및 케이스
    일반적 사항 3HR : 3 개월 이소나이아자이드 + 리팜핀 일반적 사항 3 개월 뒤 f/u 2021-10-26 Quiz Quiz Q1. ... 검사 : CBC, IGRA, CXR 진단명 : Latent tuberculosis 약제 : 3HR(3 개월 이소나자이드 + 리팜핀 ) 일반적 사항 2021-07-26 내원 시 검사 ... Gold In Tube (QFT-IT; Qiagen , Hilden, Germany) (QFT-Plus( Qiagen , Hilden, Germany) - T-SPOT.TB (TSPOT
    리포트 | 22페이지 | 2,000원 | 등록일 2022.05.02
  • 한글파일 성인간호학-Urinary tract infection_개인정보x 4.5 A+ 간호학과 과탑 자료 케이스스터디 CASE STUDY
    저녁 식후 30분 PO for 42days -Dilatrend 3.125mg 1.00TB 아침. ... 종양, 출혈성 장애, 용혈성 빈혈 Leukocyte (WBD/dL) (-) ++100 ++80 (+): 요로감염 RBC (/HPF) 0 ~ 2 3-5 0-2 : 급성 충수염, 난관염 ... -Synerjet ER Semi/T 1.00TB 저녁 식후 30분 PO for 42days -Motolium-M 10mg/T 1.00TB 저녁 식후 30분 PO for 42days
    리포트 | 30페이지 | 3,800원 | 등록일 2020.04.22
  • 한글파일 2. 액체의 끓는점상승 측정 예비
    I는 반트호프계수로 전해질이 이온화하여 1개의 화학종 당 n개의 이온이 되는 경우를 말하며 이와 같이 나타낼 수 있다. i=1+(n-1)a, (a는 이온화도.) ... 의합은 항상 1이되어야함 그러므로 xA+X=1 >xB=1-xA 이를 대입하면 로 나타낼 수 있다. 6) Cottrell 끓는점 측정장치 끓는점 측정에 갑작스런 끓음이나 과열을 피하기 ... 순수한 액체의 정상 끓는점Tb (용매)과 혼합 용액의 끓는점의 온도Tb (용액) 차이를 ΔTb라 하면 다음의 식을 만족하게 된다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.07.23
  • 한글파일 IM실습케이스 (DM, HD) A+자료입니다. 간호진단 5개, 간호과정 2개
    7.9 8.8 8.5 →혈액검사 수치를 매일 1회 (13:00)사정 중이다. +6/29(월), 7/1(수) HD 시행 2. ... 의사 처방-월/금 투석 시 빈혈 개선을 위해 Epokine PFS 10000단위 sc로 주 3회 주세요, Feroba-U SR 80mg/T 1 TB po 2회 투여해주세요. 4. ... 대상자는 3일 이내에 투석 후 빈혈로 인한 합병증이 나타나지 않는다. 3. 대상자는 투석 후 감염교육을 통해 감염 예방법을 3가지 이상 말할 수 있다. 1.
    리포트 | 13페이지 | 2,000원 | 등록일 2022.03.14 | 수정일 2022.03.18
  • 파워포인트파일 Electrical Equipment & Field Device의 Tagging Procudure 표준화
    + PHASE IN DC TERMINAL BLOCK L O NUMBER ALPHABET TB1A-L1A TB - PHASE IN DC TERMINAL BLOCK N O NUMBER ... 3ST PHASE IN 3 PHASE POWER TERMINAL BLOCK S O NUMBER ALPHABET TB1A-S1A TB NETRUAL PHASE IN 3 PHASE POWER ... ALWAYS STICK WITH TB TAG TB 2ST PHASE IN 3 PHASE POWER TERMINAL BLOCK T O NUMBER ALPHABET TB1A-T1A TB
    리포트 | 16페이지 | 3,000원 | 등록일 2020.12.02
  • 한글파일 제11장용액과그성질들
    Ptotal = PA + PB A의 몰분율을 XA, B의 몰분율을 XB라고 하면 Ptotal = PA + PB = (P0AXA) + (P0BXB) 두 유사m 은 용질 입자의 몰랄농도 ... 그러므로, ΔHvap = TbΔSvap이고, Tb = ΔHvap/ΔSvap 이다. ... 즉 Na+/Cl- 이온들과 극성인 물 분자들 간의 강한 이온-쌍극자 인력이, 물 분자들간의 쌍극자-쌍극자 인력과 그리고 Na+와 Cl- 이온들 간의 이온-이온 인력과 비슷하기 때문이다
    리포트 | 10페이지 | 2,000원 | 등록일 2021.04.16
  • 한글파일 디지털 시스템 설계 및 실습 업다운 카운터 설계 verilog
    Td Tc Tb Ta Td Tc Tb Ta 0 0 0 0 1 0 0 1 0 0 0 1 1 0 0 1 0 0 0 1 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 ... 상태 천이도 현재상태 다음상태 플립플롭 입력 UpDown = 0 UpDown = 1 UpDown = 0 UpDown = 1 D C B S D+ C+ B+ A+ D+ C+ B+ A+ ... 코드 1) BCDCounter.v module BCDCounter(clk, rst, Updown, cnt_out); input clk, rst, Updown; output [3:0]
    리포트 | 4페이지 | 1,000원 | 등록일 2021.03.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 12일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:06 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기