• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,660)
  • 리포트(2,381)
  • 자기소개서(143)
  • 시험자료(89)
  • 방송통신대(37)
  • 논문(7)
  • 서식(1)
  • 이력서(1)
  • ppt테마(1)

"논리회로 신호등" 검색결과 181-200 / 2,660건

  • 한글파일 스마트공장 보고서
    , 네트워킹 등이 가능한 차세대 지능화 센서 - 가트너 : 센싱 소자, 아날로그 인터페이스 회로, 아날로그 디지털변환기 및 버스 인터페이스를 단일 하우징에 조합한 것 - 스마트센서의 ... 단순 기능 이외에 논리제어기능, 통신기능, 판단기능을 가진 센서 - security intelligence plan : 기존 센서에 논리, 판단, 통신 기능이 결합되어 데이터처리, ... 화학적(가스, 습도 등) 및 생물학적(바이오)양을 측정 또는 검출하여 유용한 신호 즉, 전기적 신호(전압, 전류)로 변환하여 축력하는 장치 -트랜스듀서 또는 변환기 : 어떤 종류의
    리포트 | 6페이지 | 2,000원 | 등록일 2022.03.18 | 수정일 2022.03.22
  • 한글파일 디지털회로실험 논리게이트 실험 레포트
    없을 경우인데 이 경우에는 LED에 점등이 되었고, 푸시 버튼을 누를 때는 신호를 입력하는 경우인데 이 경우에는 LED에 소등이 되었다. ... 디지털회로실험 실험보고서 제목 : 기본 논리 게이트(NOT, AND, OR) 일반 논리 게이트(NAND, NOR, 버퍼) 1. ... 전원 공급기로 케이블을 연결하여 5[V]의 전압을 인가한다. - NOT 게이트 회로에 연결되어있는 버튼을 눌러 신호를 입력할 때 LED의 상태를 확인한다. - NOT 게이트 하고,
    리포트 | 9페이지 | 1,500원 | 등록일 2020.12.13
  • 워드파일 서울시립대학교 전자전기컴퓨터공학과 학업계획서
    IoT 통신의 원리를 이해하기 위해, H/W 회로에 대한 학습을 했고 회로이론, 논리회로, 전자회로 전공도서를 구매해 회로의 기본 지식을 학습했습니다. ... 서울시립대학교에 편입해 반도체와 회로설계, 제어 신호처리 등 다양한 기술들을 학습하고, 코딩 스터디에 참여하며 c언어, JAVA 프로그래밍, 아두이노 프로그래밍을 진행하며 하드웨어와 ... 특히 다양한 기관에서 진행하는 대외활동과 프로젝트에 참여해 전자회로와 제어, 아두이노, Github 활용법, 반도체 구조설계 실습 등을 통해 SW을 이용한 IOT 기술의 이해도를 높이고
    자기소개서 | 2페이지 | 5,000원 | 등록일 2023.04.12 | 수정일 2023.05.10
  • 한글파일 충북대 기초회로실험 논리게이트와 부울함수의 구현 예비
    게이트 두 개의 입력이 서로 같지 않을 때만 출력이 1이 되는 논리회로를 말하며, 이 회로논리 연산회로, 2진수의 비교, 착오의 검출, 코드변환 등에 쓰인다. (6) 부울 함수를 ... 2) 부울 대수를 사용한 논리회로의 표현방식 및 등가회로를 익힌다. ... 모두 1일 때 AND 게이트의 출력은 1이 되지만 NAND 게이트 출력은 0이 되고, 입력신호 중 어느 하나라도 0이 되면 NAND 게이트 출력은 1이 된다.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10
  • 워드파일 이화여자대학교 2022 편입학 전자전기공학부 자소서, 학계서(최초합)
    하지만, 저는 하드웨어 객체를 ‘추상화’한 기능위주의 설명보다 좀더 근본적인 ‘논리회로적’ 관점에서의 지식을 학습하고 싶었고 RAM, ALU, Gates 등의 설계방법을 배움으로써 ... 소자의 특성을 파악해 다양한 회로를 연결하는 칩의 전체적인 디자인을 설계하기 위해선 저의 분석적이고 논리적인 사고가 장점으로 작용할 것이라 생각합니다. ... 기본적인 논리 회로설계를 바탕으로 한 상위 수준의 디지털 시스템 설계 능력을 종합적으로 학습한 다음, 본격적으로 ARM 아키텍처를 이용한 ‘SoC 디자인’ 연구를 하고싶습니다.
    자기소개서 | 3페이지 | 3,200원 | 등록일 2022.02.11
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 2. Digital Logic Gates 예비 보고서
    디지털논리회로실험 예비 보고서 [2주차] 실험 2. Digital Logic Gates 1. ... 고속용, 저전력용 등 용도에 따른 다양한 종류의 TTL이 있다. ... 관련 이론 1) TTL (Transistor Transistor Logic) 반도체를 이용하여 구현한 논리회로의 한 종류이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 중앙대학교 전기전자공학부 편입 합격자 학업계획서
    그 후, 반도체 공부의 기본이 되는 공업수학, 전기회로 등의 과목들을 열심히 공부하였습니다. ... 이를 통해 원어로 진행되는 강의를 논리의 오류 없이 받아들이고 적극적으로 발표하는 등 강의에 참여할 수 있었습니다. 3.입학 후 학업 목표, 실행 계획, 졸업 후 진로를 자신의 강점 ... 이 과정에서 어떻게 회로 등이 구성되고 일상생활에서의 다양한 전자기기들의 작동되는지 이해할 수 있었습니다.
    자기소개서 | 2페이지 | 9,900원 | 등록일 2023.08.22 | 수정일 2023.08.30
  • 한글파일 디지털공학개론 ) 1. JK 플립플롭을 이용하여 3비트 2진 카운터를 설계하는 과정을 나타내시오. 2. T 플립플롭을 이용하여 3비트 2진 카운터를 설계하는 과정을 나타내시오. 할인자료
    플립플롭은 비트 기억을 위해 순서논리회로에서 사용되는 요소로서 역할하고 있다. ... Flip-Flop은 클럭 입력을 가지는 2진 기억소자로서 쌍안정 회로라고 불리기도 한다. 즉 이는 클럭 입력이 존재하는 동기식 순서논리회로의 기본적인 소자이다. ... 플립플롭은 클럭 입력에만 반응이 되며, 이에 대하여 출력 상태를 변화시키는 동기식 순서논리회로로서 즉 Edge Trigger라고도 불리는 외부 클럭의 변화에만 상태가 변화한다.
    리포트 | 6페이지 | 5,000원 (5%↓) 4750원 | 등록일 2023.01.27
  • 워드파일 서강대학교 디지털논리회로실험 레포트 7주차
    이론 3-1) counters Counter는 그림 1과 같이 clock에 의해 단일 cycle을 반복적으로 수행하는 순차 논리회로이다. ... 이 사실을 생각한 상태에서 회로를 관찰해 보자. 우선 이전 실험과 동일하게, 첫번째 F/F 에 1Hz의 신호가 들어간 것을 알 수 있다. ... -state machine의 설계 주어진 state machine의 분석과정과 달리 설계하려는 machine의 규격이 주어지고 이를 flip-flop등을 이용하여 state machine으로
    리포트 | 26페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 한글파일 논리회로설계 FSM 설계
    논리회로설계 실험 예비보고서 #8 실험 8. FSM 설계 1. ... 컴퓨터 프로그램과 전자 논리 회로를 설계하는데 쓰이는 수학적 모델이며 간단히 상태 기계라고 부르기도 한다. ... 이것은 논리회로에 대해서만이 아니고 직접 회로실험을 할 때에도 통용되는 것이어서 이번 실험에서 완전히 습득하는 것을 목표로 해보겠다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 전자회로실험 제11판 예비보고서 실험3(직렬 및 병렬 다이오드 구조)
    바이어스(bias) 전자관이나 트랜지스터 동작 기준점을 정하기 위해 신호전극 등에 가하는 전압, 전류를 말한다. ... 다이오드로 논리 게이트 해석 논리 게이트 해석은 다이오드 상태를 가정하고, 여러 전압 레벨을 결정하고, 그 다음 결정된 전압이, 회로의 어떤 점(ex) 출력전압)에서 전압 레벨은 오직 ... 다이오드 양단의 전압이 0.7V에 도달하면, 다이오드는 ON이 되고, 0.7V보다 낮거나 극성이 반대이면, 다이오드는 OFF이며, 다음과 같은 전기적인 등가회로를 갖는다.
    리포트 | 12페이지 | 2,500원 | 등록일 2022.03.19
  • 한글파일 예비보고서(2) 플립플롭
    목적 이 장에서는 순서논리회로의 기반이 되는 플립플롭(flip-flop)을 RS, D, T, JK, 주종 플립플롭 등을 대상으로 하여 동작 원리를 살펴보고 전반적인 이해를 도모하도록 ... J, K를 표 7과 같이 변화시키면서 CLK 신호를 가해 Q와 Q의 논리상태를 측정하여 표 7(a)에 기록한다. (11) 회로도 (i) 대신 7476 JK 플립플롭을 사용하여 절차( ... 플립플롭의 종류에는 R-S, D, T, J-K 등이 있다. (1) 기본 RS 플립플롭 S R Q Q 0 0 불변 0 1 0 1 1 0 1 0 1 1 불변 RS 플립플롭 회로 표시기호
    리포트 | 7페이지 | 2,000원 | 등록일 2020.10.14
  • 워드파일 시립대 전전설2 [4주차 예비] 레포트
    조합논리회로와 순차논리회로의 설계, 설계된 회로의 시뮬레이션을 위한 테스트 벤치의 작성에 사용되는데, always 구문의 감지신호목록은 조합논리 모델링에서는 모델링되는 회로의 입력 ... 가산기는 산술 논리 장치뿐만아니라 주소값, 테이블 색인 등을 더하는 프로세서의 한 부분으로 사용되고 있다. ... Essential Backgrounds for this Lab 반가산기 반가산기란 덧셈 연산을 수행하는 논리 회로이며 디지털 회로, 조합 회로의 하나이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 한글파일 KLA텐코코리아 2020상반기 최종합격 자소서
    (최대 800자) 전자회로설계 팀 프로젝트에서 논리적 접근으로 소자의 개수를 줄여 원하는 결과를 도출한 경험이 있습니다. ... Pspice로 회로를 설계하고 필터를 이용하여 입력 신호를 100배 증폭시키고 60Hz와 60kHz의 잡음을 제거하여 출력으로 내보내는 과제를 부여받았습니다. ... 신입생들과 환영회, 체육대회, 축제, 농촌봉사활동 등 다양한 행사를 진행하면서 책임감이 생기게 된 중요한 계기가 되었습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2021.01.12
  • 한글파일 [계측공학 및 실습]데이터 수집 및 처리(DAQ 시스템)_예비보고서
    디지털회로에서 입력파형으로 요구되는 TTL 및 CMOS 논리 신호도 제공되므로 디지털회로 분석에도 용이하다. [ 함수발생기 사용법 ] 녹색 LED 디스플레이 : 내, 외부 신호의 주파수 ... 오실로스코프는 회로에서 일어나는 것을 시각적으로 보여 준다. 오실로스코프는 전자 회로에 대해 다음의 정보를 제공할 수 있다. 1. 신호의 주파수 2. 신호의 기간 3. ... 제공되는 파형의 주파수는 아주 낮은 범위에서 높은 범위까지 가변될 수 있어서 회로시스템의 주파수 특성을 분석하는데 좋은 신호제공기가 된다.
    리포트 | 8페이지 | 1,500원 | 등록일 2023.02.10
  • 워드파일 8. 래치와 플립플롭 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    실습 목적 - 순차식 논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다. 3. ... master/slave) 플립플롭등으로 구별할 수 있다. ... 실제 래치나 플립플롭을 설계할 때 트랜지스터를 다양한 방식으로 레이아웃 하여 설계하므로 자신이 원하는 회로의 가장 중요한 특성을 잘 파악하여 tradeoff 관계를 고려한 최선의 회로
    리포트 | 12페이지 | 1,000원 | 등록일 2022.10.02 | 수정일 2023.01.03
  • 워드파일 서울시립대학교 전전설2 6주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Flip-Flop, Register, SIPO 등을 설계한다. 다양한 설계 방법 등을 실험한다. 나. ... 따라서 입력신호가 동일하다면 항상 같은 출력을 갖는다. ... Hyperlink \l "주석1" [1] - SR 래치 진리표 논리 구성 Time diagram - SR 플립플롭 진리표 논리 구성 Time diagram (3) Verilog HDL의
    리포트 | 16페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 한글파일 8장 순차논리회로 설계 및 구현(2) 예비
    보통 레지스터의 구성은 플립플롭과 그들의 기능 혹은 입력 선택 등을 정의하는 조합논리로 구성된다. ... 클리어 신호는 비동기 신호로서 모든 플립플롭의 CLR 입력단자로 연결되어 모든 레지스터를 리셋 상태로 만드는데 사용되며 일반적으로 초기화 회로에 이용된다. ... 8장, 순차논리회로 설계 및 구현(2) 예비보고서 1. 목적 가. 4비트 동기 카운터를 설계하고 구현한다. 나. 4비트 레지스터를 설계하고 구현한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.06
  • 한글파일 결과보고서(5 복호기부호기)
    각각의 가능한 입력 조건에 대해, 오직 한 개의 출력 신호만의 논리 1로 된다. ... 회로 (a)는 가장 간단한 복호기인 2-to-4 복호기를 구성한 것이다. 2개의 입력선과 4(22)개의 출력 신호를 갖는다. ... 회로 (b)는 가장 간단한 복호기인 4-to-2 부호기를 구성한 것이다. 2개의 입력선과 2개의 출력 신호를 갖는다. 2-to-4 복호기 실험에서의 출력값을 이 실험의 입력선이 되어
    리포트 | 8페이지 | 2,000원 | 등록일 2020.10.14
  • 한글파일 (인터넷과 정보사회) 컴파일러와 인터프리터의 역할과 두 방식의 기능적 차이점을 구체적으로 서술하시오
    출력장치에는 스피커, 프린터, 모니터 등이 있다. 스피커는 전기 신호를 소리로 변환하는 출력장치이다. ... 키보드 버튼을 누르게 되면 버튼 위에 표시된 문자, 숫자, 특수 기호 등을 컴퓨터로 전달한다. 키보드의 내부에는 회로판이 있다. ... 키보드 버튼이 눌리면서 해당 버튼 아래에 있는 회로판에서 회로 선이 서로 접촉하게 된다. 회로 선이 서로 접촉하며 전류가 발생하고 버튼마다 발생하는 전류가 다르다.
    방송통신대 | 4페이지 | 3,000원 | 등록일 2023.02.20 | 수정일 2023.02.21
  • 레이어 팝업
AI 챗봇
2024년 05월 24일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:29 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기