• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(51,823)
  • 리포트(44,789)
  • 자기소개서(2,934)
  • 시험자료(2,255)
  • 방송통신대(1,215)
  • 논문(393)
  • 서식(171)
  • 기업보고서(40)
  • ppt테마(14)
  • 이력서(9)
  • 노하우(3)

"제어기" 검색결과 181-200 / 51,823건

  • 파일확장자 PID(비례적분미분) 제어기
    이론1) PID제어기-> 비례제어기의 요소에 미분, 적분제어기의 요소를 결합한 제어기를 PID 제어기라고 한다.4. ... 목적1) P, I 및 D제어요소를 결합한 PID제어기의 구성, 회로해석과 시간 및 주파수 응답특성을 관측한다.2) 회로에서 입력전압과 커패시터의 크기가 출력에 미치는 영향을 알아본다 ... 대한 결론을 기술하시오.-> PID제어기에 구형파를 인가한 경우 비례제어요소와 미분 및 적분제어요소에 의한 출력 파형을 얻을 수 있다.-> 을 통해 입력전압의 값이 커지면
    리포트 | 10페이지 | 1,000원 | 등록일 2015.10.28
  • 파일확장자 컨베이어식 펠렛온수난방기의 연소제어에 의한 에너지절감 및 난방 성능 분석
    한국기계기술학회 백이, 전종길, 김형권
    논문 | 6페이지 | 4,000원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • 파일확장자 CO2 용접기 더블 와이어 릴을 겸비한 원격제어토치 성능에 관한 실험적 평가
    한국기계기술학회 김정혁, 오석형
    논문 | 8페이지 | 4,000원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • 파워포인트파일 Matlab을 이용한 DC모터 P제어기 설계
    Matlab 을 이용한 DC 모터 P 제어기 설 계 DC 모터 설계 전달함수를 통한 DC 모터 각속도와 출력각도 제어 P 제어기를 이용한 %OS, 정상상태 오차 ( ess ), 상승시간 ... 미분방정식 전달함수 방정식 - 전압입력에 대한 출력각도 ( 위치 ) 제어 전달함수 - 전압입력에 대한 속도 제어 전달함수 속도제어 Gc (s) 시뮬레이션 정상상태 오차 e ss = ... ( Ts ) 제어 DC 모터 모델링 DC 모터는 전기 에너지를 회전 기계에너지로 변환시키는데 , 모터의 회전자에 발생된 대부분의 토크가 외부 부하를 구동하는 데 쓰인다 .
    리포트 | 10페이지 | 1,000원 | 등록일 2017.07.19
  • 파일확장자 비례기 및 비례적분제어기를 이용한 온도제어
    이 신호는 제어기에서 기준온도 입력 R(s)와 비교되며, 기준 온도입력과의 차이가 제어기의 입력으로 된다. 그러므로 플랜트의 온도에 대한 전기적인 출력 변환 선서가 요구된다. ... 목적1) 일정온도가 유지되어야하는 항온조의 온도를 유지하는 시스템을 구성하고, 제어기의 파라미터에 따른 온도제어 특성을 검토함으로서 온도제어 시스템을 이해한다. ... 1) 온도 검출기(Feed back Circuit)플랜트에서 검출되는 온도는 온도제어 시스템의 제어결과 C(s)이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2015.10.28
  • 파일확장자 PID제어를 이용한 자동제세동기 PPT발표자료
    저희가 선택한 주제는 자동제세동기 즉 AED라는 의료기기인데요. ... ECG의 ‘심방의 탈분극인 P파’, ‘심실의 탈분극인 QRS파’, ‘심실의 재분극인 T파’를 측정한 아날로그 값을 A/D Converter를 통하여 디지털 값으로 변환시켜 시스템 제어
    리포트 | 8페이지 | 3,000원 | 등록일 2018.06.06
  • 한글파일 PI 제어기 설계
    Project 2 일 때, 다음 조건을 만족하는 PI제어기를 설계한다. 1) 단위 계단 입력에 대한 percent maximum overshoot 가 5% 이하 되게 할 것 2) settling ... time(5% criterion) 이 6초 이하 되게 할 것 3) ramp error constant 가 0.9 이상 되게 할 것 먼저 루프함수에 PI제어기 항을 추가한다. ramp ... 따라서 위의 조건 를 만족한다. , 인 PI제어기를 포함한 시스템의 block diagram은 다음과 같다. simout block을 이용해 매틀랩에서 step response를 구해보면
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.02
  • 한글파일 스텝모터 제어기
    < 스텝모터 제어기의 설계 > MOT2_ROT library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use
    리포트 | 6페이지 | 1,500원 | 등록일 2012.10.30 | 수정일 2014.08.14
  • 한글파일 PD 제어기 설계
    서론 모터 위치 제어 시스템 모델링 및 제어기 설계를 위해 PD제어기를 설계하여 목표치에 만족하도록 만들 계획이다. 본론 1. ... PD제어기가 포함된 경우의 안정도 특성방정식 : s ^{2} +(30.2179+136.8192K _{D} )s+136.8192K _{P} =0 s ^{2}1 136.8192K _{P ... PD제어기 설계 G(s)=G _{C} (s)G _{P} (s)= {136.8192(K _{P} +K _{D} s)} over {s(s+30.2179)} {theta _{L} (s)}
    리포트 | 8페이지 | 1,000원 | 등록일 2012.12.12
  • 한글파일 제어공학실험 PD제어기 실험 전문 레포트
    실험목적 P 제어요소와 D 제어요소를 결합한 PD 제어기의 구성, 해석 및 특성을 관측한다. 2. 실험회로 3. ... 이러만 미분제어기의 효과로 시스템의 과도응답을 개선할 있으나 시스템 형을 증가시키지 못하는 PD제어기는 정상상태응답은 개선할수 없다. ... PD제어기는 미분값의 오차신호에 비례하는 제어신호를 피드백 함으로써 오차신호의 변화를 억제하는 역할을 하기 때문에 감쇠 비를 증가시키고 초과를 억제하는 데에 효과적이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2014.12.19
  • 파일확장자 Zinc-Lithium-Acetate System을 통한 ZnO 분말 크기제어 및 광학 특성 연구
    한국분말야금학회 유리, 김유진
    논문 | 5페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • 파일확장자 음식물처리 압착기의 압착압력 추정을 통한 자동 개폐식 시스템의 설계 및 제어
    한국기계기술학회 김정현
    논문 | 6페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • 한글파일 [제어공학] 모터 위치제어 시스템 모델링 및 제어기 설계보고서 (Matlab이용)
    본론2 1) 설계모델 (1) Gp(s) 구하기2 (2) Parameter 구하기2 (3) Gp(s)의 정규화2 2) PD제어기 설계 (1) PD제어기를 포함한 안정도2 (2) PD제어기 ... 결론 및 고찰 ○ PD제어기를 포함한 모터 위치제어 시스템의 적절한 PD제어기의 Parameter의 값은 zeta`=`0.82,`omega _{n} =23.7이다. ... 모터 위치제어 시스템 모델링 및 제어기 설계보고서 과목명 제어공학 교수명 이병수 교수님 학과명 기계자동차공학과 학 번 5117220 성 명 진성호 제출일 2015. 12. 14.
    리포트 | 21페이지 | 3,000원 | 등록일 2016.03.07
  • 파일확장자 트램 운영을 위한 신호제어 전략 및 신호제어기의 개발 (Development of the Traffic Signal Control Strategy and Signal Controller for Tram)
    대한교통학회 이인규, 김영찬, 이주일, 오승훈
    논문 | 11페이지 | 4,000원 | 등록일 2015.05.06 | 수정일 2017.02.02
  • 파일확장자 열처리를 통한 금 나노입자의 크기 제어와 일벽 탄소나노튜브의 합성 촉매로의 이용
    한국재료학회 이승환, 정구환
    논문 | 8페이지 | 4,000원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • 한글파일 제어기기사용법
    멀티미터는 여러가지의 측정 기능을 결합한 전자 계측기이다. ... 교류전압기 교류 전압원 공급기로 주파수를 생기게 하여 공급시킨다. Hz를 조종할 수 있다. ... R e p o r t 제목: 제어기기 사용법 (결과) 조: 9조 제출일자 : 담당교수님 : 교수님 담당조교님 : 조교님 조원 : 호 서 대 학 교 HOSEO UNIVERSITY 멀티미터
    리포트 | 3페이지 | 1,000원 | 등록일 2012.07.01
  • 파워포인트파일 제어공학 발표 - PID 제어기 발표자료 피피티
    기본이론 - P,I,D요소를 결합한 아래 그림과 같은 제어기를 비례적분미분 제어기라 한다. 3. ... PID 제어기 1. 실험목적 - P,I및 D요소를 결합한 PID 제어기의 회로 해석 및 특성을 관측한 다 2. ... 이것은 P,I,D제어기를 다 합쳐놓은 출력형태이다.
    리포트 | 17페이지 | 1,500원 | 등록일 2015.01.06
  • 한글파일 전기전자실험 - 전압제어발진기 (Voltage–Controlled Oscillator)
    이론(1) 전압제어발진기입력전압을 조절하여 출력주파수 자체를 바꿀 수 있는 발진기회로이다. ... 목적- 연산증폭기를 이용하여 전압제어발진기의 특성과 파형을 측정해본다.- 오실로스코프를 이용해 출력파형의 주기, 주파수, Duty cycle 등을 확인해본다.2. ... 여기서 VCO는 PLL의 핵심 요소로서 동작하게 된다.발진기는 직류 전력을 교류 전력으로 변환하는 에너지 변환 회로로, 오늘날 대부분의 초고주파용 발진기들은 GaAs FET나 BJT
    리포트 | 7페이지 | 1,000원 | 등록일 2018.11.20 | 수정일 2018.11.26
  • 파일확장자 점탄성 감쇠기를 이용한 비대칭 건물의 진동제어 (Vibration Control of Asymmetric Buildings Using Viscoelastic Dampers)
    한국지진공학회 김진구, 방성혁, 이진수
    논문 | 7페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • 한글파일 실험5 단상 위상제어 정류기
    실험(5) Team Project 단상 위상제어 정류기 실험(5) Team Project 단상 위상제어 정류기 담당교수 *** 교수님 담당조교 *** 조교님 1. 개요 1.1. ... 왜냐하면 인덕터를 통해 흐르는 전류는 연속이고 T _{1}과 T _{4}의 턴오프 제어는 불가능하기 때문입니다. ... 과제1-반파 위상제어 정류회로 2.1.1. schematic 및 설정 출력전류를 측정하기 위해 전류계를 추가하여 schematic을 작성하였습니다. 2.1.2. simulation
    리포트 | 9페이지 | 2,000원 | 등록일 2015.06.12
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 31일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:26 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기