• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(51,914)
  • 리포트(44,735)
  • 자기소개서(2,916)
  • 시험자료(2,246)
  • 방송통신대(1,212)
  • 논문(569)
  • 서식(170)
  • 기업보고서(40)
  • ppt테마(14)
  • 이력서(9)
  • 노하우(3)

"제어기" 검색결과 201-220 / 51,914건

  • 한글파일 무인항공기 비행제어시스템
    시스템의 반응을 빠르게 하려면 비례적분 제어기를 사용하면 된다. ④ P제어기와 I제어기의 단점을 서로 보완해 줌으로써 전달함수에 시스템의 유형을 높여주고, 정상상태 오차를 줄여주면서 ... 가리킨다. ② 비례제어 부분과 더불어 오차신호를 적분하여 제어신호를 만드는 적분제어를 함께 쓴다는 뜻에서 이 기법에 의한 제어기를 비례적분 제어기라 한다. ③ 정상상태 오차를 없애면서 ... 0039198,
    리포트 | 20페이지 | 2,000원 | 등록일 2014.06.06
  • 한글파일 [제어공학실험][전기전자실험]비례제어기를 가지는 폐루프제어 실험
    제어공학실험 REPORT 비례제이기를 갖는 폐루프제어 1. 실험목적 2차 지연요소를 제어대상으로 하여 비례제어기를 이용한 폐루프 제어시스템을 설계하고, 특성을 관측한다. 2. ... 비례제어기의 이득은 1, 10, 50, 100으로 각각 설정한다. 1배 10배 50배 100배 시간이 흐를수록 점점 상승한는 곡선으로 나타남. ... T(S) = G(S) over 1+G(S) = {K(10000000)} over {(S+10000)(S+1000)+K(10000000)} 실험 3.3 P제어기의 이득을 1, 10 ,
    리포트 | 11페이지 | 1,500원 | 등록일 2014.12.29
  • 한글파일 자동제어실험 결과 직류전동기의 전달함수 측정
    과제명: [결과] 실험6 제출일: 2015.11.04 학 부: 전자공학부 과목명: 자동제어실험 교수명: 구형일 분 반: 수 F~I 교시 학 번: 성 명: 자동제어실험 결과보고서 #6 ... 연결된 모터를 구동시키기 위한 전력 증폭기 ? OP amp용 직류전원과 선형 전력증폭기로 구성 ? ... 직류전동기의 전달함수 측정 1.
    리포트 | 8페이지 | 1,000원 | 등록일 2017.11.29
  • 한글파일 무인항공기제어실험
    따라서 더욱 일반적인 연속치 제어기는 가산기, 적분기 및 미분기 등과 같은 요소들을 포함하는 장치라고 생각 할 수 있다. 실제로 가장 널리 이용되는 제어기는 PID 제어기이다. ... 제어 시스템에서 사용되는 제어기제어기의 입력에 따라 비례하는 비례제어뿐만 아니라 미분과 적분도 이용할 수 있다. ... 실험의 목적 및 요지 본 실험에서는 무인항공기의 비행제어시스템에 대하여 학습하고 자동 및 자율 비행을 위하여 가장 기본이 되는 항공기의 자세 제어를 위하여 설계된 PID 제어기를 이용하여
    리포트 | 11페이지 | 1,500원 | 등록일 2013.03.14
  • 한글파일 교통신호 제어기
    2011. 5. 18 디지털 공학 (교통신호 제어기) 소 속 : 학 번 : 성 명 : 교통신호 제어기 설명 본 교통신호 제어기에서는 디코더를 활용한 상황에 따른 동작 원리에 대해 설명을 ... 상태 1: 최소 25초 또는 부도로에 통행 중인 자동차가 없는동안 상태 2: 4초 상태 3: 최대 25초 또는 부도로에 통행 중인 자동차가 없을 때 까지 상태 4: 초 교통신호 제어기의 ... LongTrig = S1 + S3 ShortTrig = S2 + S4 교통신호 제어기의 논리회로 앞서 설명한 논리 구성도를 바탕으로 회로를 구성하면 다음과 같은 논리 회로가 구성이
    리포트 | 5페이지 | 1,000원 | 등록일 2011.09.17
  • 한글파일 승강기용 전동기의 제어방식(로프식)
    승강기용 전동기의 제어방식(로프식) 1. ... 전동기의 속도와 토크를 제어하는 방법으로서 직류 전동기는 레오나드제어, 동기전동기는 무정류자 전동기 제어방식이 널 리 사용되고 있다. ... 가변전압 가변주파수 제어방식의 원리 전동기의 속도제어를 자유롭게 하기 위해서는 전동기의 토크를 자유자재 로 가변하는 것이 기본이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2014.03.03
  • 한글파일 실시간 제어기 DC모터제어시스템
    모터에는 전압이 입력되고 이는 지역제어기 내부에 있는 PWM발생기에 의하여 제어입력이 전압으로 변환된다. ... 문제5> 실시간 제어기를 이용한 DC 모터 제어 시스템의 예를 블록 다이어그램을 이용하여 간단히 설명하시오. * 모터 제어 블록 다이어그램 아래 그림은 실제 모터 제어시스템의 블록 ... DC 모터제어시스템에서 출력신호는 보통 회전수나 각도이므로 이 출력신호가 입력신호와 비교되기 위해서는 출력신호를 위치나 전압으로 변환시켜야 된다.
    리포트 | 1페이지 | 1,500원 | 등록일 2012.12.04
  • 한글파일 항공우주제어 Matlab을 이용한 PI제어기를 통한 Setp 입력 rootlcus분석
    적분제어기는 라플라스 형태에서 적분의 형태인 1/s를 제어기에 더해줌으로써 제어기에서 발생하 형태가 P 제어기와는 다르므로 다시 구했다. ... 목표 위의 전달함수에 대해 다음과 같은 조건을 만족하는 P 제어기를 구성 하는 것과 PI제어기를 구성하는 것이 이번 과제의 목표이다. 2. ... 계수들을 조절함으로써 시스템이 어떻게 변하는지 감을 얻을 수 있었다. 2)번의 PI 제어기 설계를 통해서는 시스템의 수렴 응답 값을 관찰하며 PI 제어기가 얼마나 강력한 제어기인지
    리포트 | 19페이지 | 1,000원 | 등록일 2015.12.25 | 수정일 2016.01.01
  • 파일확장자 점탄성 감쇠기를 이용한 비대칭 건물의 진동제어 (Vibration Control of Asymmetric Buildings Using Viscoelastic Dampers)
    또한 비대칭 건물의 비틀림제어면에서 점탄성 감쇠기가 점점 감쇠기보다 우수한 것으로 나타났다. ... 본 연구에서는 진동제어를 목적으로 강성이 비대칭적으로 분포된 구조물에 점탄성 감쇠기를 설치할 경우 비틀림 응답을 줄이기 위한 감쇠기의 효과적인 배치방법에 관하여 연구하였다. ... 이를 이용하여 비대칭건물에 감쇠기를 설치했을 때 같은 양의 감쇠기를 대칭으로 설치하였을 때 보다 그 효과가 더욱 향상되는 것으로 나타났다.
    논문 | 7페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • 워드파일 PID제어기
    직류서보모터의 PID제어를 위한 순차적 설계 목 차 서론 제어기 비례(P) 제어기 비례(P) 제어기의 구성 비례(P) 제어기의 설계 비례적분(PI) 제어기 비례적분(PI) 제어기의 ... 구성 비례적분(PI) 제어기의 설계 비례미분(PD) 제어기 비례적분(PD) 제어기의 구성 비례적분(PD) 제어기의 설계 비례적분미분(PID) 제어기 비례적분미분(PID) 제어기의 ... PD제어기라고 부른다.
    리포트 | 29페이지 | 3,500원 | 등록일 2009.12.29
  • 한글파일 PLC(프로그램형 제어기) 개요,정의, PLC(프로그램형 제어기) 구성, PLC(프로그램형 제어기) 명령어, PLC(프로그램형 제어기)와 시퀀스제어,PLC(프로그램형제어기)장단점
    PLC(프로그램형 제어기)의 개요, PLC(프로그램형 제어기)의 정의, PLC(프로그램형 제어기)의 구성, PLC(프로그램형 제어기)의 명령어, PLC(프로그램형 제어기)와 시퀀스제어 ... PLC(프로그램형 제어기)의 명령어 1. 표준화 언어의 종류 2. LD 명령어 기능 Ⅴ. PLC(프로그램형 제어기)와 시퀀스제어 Ⅵ. PLC(프로그램형 제어기)의 장단점 1. ... PLC(프로그램형 제어기)의 개요 1. 입력부(입력모듈) 2. 시스템제어 장치(PLC 제어장치) 3. 출력부(출력모듈) Ⅱ. PLC(프로그램형 제어기)의 정의 Ⅲ.
    리포트 | 6페이지 | 5,000원 | 등록일 2013.02.24
  • 한글파일 과열도 제어를 위한 퍼지제어기
    -과열도 제어를 위한 퍼지제어기 1. 서 론 1) 현대 사회와 냉동기 제어의 필요성 2) 과열도 제어의 목적 2. ... 대표적인 냉동기 제어로는 압축기의 회전수 제어와 냉동기의 증발기의 과열도를 제어하는 것이 있는 데 이러한 제어를 통해서 우리가 목적으로 하는 실온을 유지하게 되고 또한 효율적인 측면까지 ... Fuzzy 이론 1) 퍼지제어란 - 퍼지제어의 장점 2) 퍼지제어의 응용 3) 퍼지이론의 역사 4) 퍼지 제어시스템의 이론 - 퍼지제어기의 기본구조 5) 기존 PI제어기와 퍼지 (PI
    리포트 | 22페이지 | 2,000원 | 등록일 2011.03.24
  • 한글파일 제어공학실험, 전기전자실험 PID 제어기 전문레포트
    해당 제어기를 비례미분 제어기(proportional-derivative controller), 또는 PD제어기라 부른다.. ? ... 비례미분 되먹임 제어시스템의 구성 : PD제어기를 포함하는 되먹임 제어시스템 ? ... (대안 : 현대제어기법) 비례미분(PD) 제어기 ? 비례미분 제어 : 오차신호를 미분하여 제어신호를 만들어내는 미분제어를 비례제어에 병렬로 연결하여 사용하는 제어기법.
    리포트 | 21페이지 | 2,000원 | 등록일 2014.12.19
  • 한글파일 비례적분미분제어기를 이용한 폐루프 제어시스템 레포트
    [실험17]비례적분미분제어기를 갖는 폐루프제어.hwp1 1. 실험목적 - 2차 지연요소를 제어대상으로 하고 비례적분미분제어기를 이용한 폐루프 제어의 특성을 고찰한다. 2. ... 기본이론 - 비례적분미분제어기를 이용한 폐루프 제어시스템은 다음과 같다. ... OP-AMP의 특성을 나타내지 않기 때문인 것으로 풀이된다. 7) 만약 가변저항 R _{d}및 컨덴서 C _{d}의 값에 따라 출력파형이 변할 경우 그 이유를 적으시오. 8) PID제어기
    리포트 | 16페이지 | 2,000원 | 등록일 2015.01.06
  • 한글파일 아날로그 및 디지털 회로 설계 실습 6. 전압제어 발진기
    전압제어 발진기 6-1. ... 발진기의 설계 (A) 그림 6-1과 같이 전압제어 발진기를 설계하고, 출력파형을 관찰하라. ... 목적 전압제어 발진기(VCO: Voltage Controlled Oscillator)를 설계하고 전압을 이용한 발진 주파수의 제어를 실험으로 확인한다. 6-2.
    리포트 | 5페이지 | 1,000원 | 등록일 2018.03.21
  • 한글파일 전기전자실험, 제어공학실험 - 전압제어 발진기
    1. ... 이 론 - 전압제어 발진기는 입력전압을 조절하여 출력주파수를 바꿀 수 있는 발진기 회로이다. ... 전압제어 발진기는 입력 신호의 전압이 양수일 경우 발진 주파수가 증가하고, 음수일 경우에는 발진 주파수가 감소한다. 즉 인가하는 전압에 따라 주파수가 달라진다.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.12.20
  • 한글파일 PID제어기 설계방법
    ◆ PID제어기를 설계하는 방법에는 여러 가지가 있을 수 있는데, PI제어기와 PD제어기 설계법을 활용할 수 있는 방법으로서 분해식 접근방법을 ... PID제어기는 비례(P), 적분(I), 미분(D) 제어의 세 부분을 병렬로 조합하여 구성하는 제어기로서, 정상상태 응답과 과도상태 응답을 모두 개선할 수 있다. ... [제어공학] 문제 2> 산업용 자동제어기의 설계방법에서 PID(비례-적분-미분)제어기 설계방법에 대하여 구체적으로 설명하시오.
    리포트 | 1페이지 | 1,000원 | 등록일 2012.10.05
  • 파워포인트파일 비례적분제어기 발표자료 피피티
    비 례 적 분 제 어 기 P 제어기 I 제어기 동작특성 오차가 완전히 0 이되면 비례기는 작동하지않고 적분기는 오차를 지속적으로 0 으로 유지할 수 있는 일정한 크기의 제어신호가 발생한다 ... 비 례 적 분 제 어 기 이상으로 , PI 제어기 비례적분제어기 {nameOfApplication=Show} ... 비례적분제어기 Proportional-plus-integral control action 비 례 적 분 제 어 기 PI 제어기 동작 특성 OpAmp 를 사용한 실험 과정 결론 도출
    리포트 | 14페이지 | 1,500원 | 등록일 2015.01.06
  • 파일확장자 고속도로 대안경로 VMS 피드백제어기 설계 (VMS Feedback Controller Design for Alternative Routes)
    본 연구에서는 이러한 문제의식을 갖고, 중부고속도로 제1중부와 제2중부 구간의 VMS를 대상으로 대안 경로에 대한 정보제공을 위한 피드백 제어기를 설계하도록 한다.
    논문 | 4페이지 | 1,500원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 파워포인트파일 퍼지제어기의 종류
    제 5장 퍼지제어 알고리즘과 제어기 설계 5.3 퍼지제어기의 종류 5.4 퍼지 제어의 적용 예 5.3.1 퍼지 PID 제어기 5.3.2 하이브리드(Hybrid) 퍼지 제어기 5.3.3 ... 퍼지 자기 구성 제어기 5.3.4 하이브리드 스미스 예측(Hybrid Smith-predictor) 퍼지 제어기 5.3.5 퍼지 예측 제어기 5.3 퍼지제어기의 종류 5.3.1 퍼지 ... 규칙을 조정하는 방법 퍼지 제어기의 성능 개선 방법 5.3.1 퍼지 PID 제어기 Fig. 2 퍼지 제어기의 동조 알고리즘의 흐름도 5.3.1 퍼지 PID 제어기 Fig. 3 환산계수와
    리포트 | 28페이지 | 1,500원 | 등록일 2011.03.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 20일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:55 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기